CN1549872A - 用于等离子处理的装置和方法 - Google Patents

用于等离子处理的装置和方法 Download PDF

Info

Publication number
CN1549872A
CN1549872A CNA028168224A CN02816822A CN1549872A CN 1549872 A CN1549872 A CN 1549872A CN A028168224 A CNA028168224 A CN A028168224A CN 02816822 A CN02816822 A CN 02816822A CN 1549872 A CN1549872 A CN 1549872A
Authority
CN
China
Prior art keywords
gas
pulse
gas stream
amplifier
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028168224A
Other languages
English (en)
Other versions
CN100462475C (zh
Inventor
埃里克・J・斯特朗
埃里克·J·斯特朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1549872A publication Critical patent/CN1549872A/zh
Application granted granted Critical
Publication of CN100462475C publication Critical patent/CN100462475C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

一种等离子处理系统,包括处理室、设置在处理室内的基片架和设置成向处理室供给第一气体和第二气体的气体注入系统。该等离子处理系统还包括控制器,该控制器控制气体注入系统向处理室持续地流动第一气体流,并在第一时间向处理室脉冲第二气体流。所述控制器在第二时间向基片架脉冲RF功率。一种操作等离子处理系统的方法,它包括:调节处理室中的背景压力,其中背景压力是通过利用气体注入系统流动第一气体流建立的;在处理室中点燃处理等离子。该方法还包括利用气体注入系统在第一时间脉冲第二气体流,和在第二时间向基片架脉冲RF功率。

Description

用于等离子处理的装置和方法
相关申请的引用
本发明要求序号为60/315,369的美国临时申请的优先权,该临时申请于2001年8月29日提交,其全文在此被引用以供参考。
技术领域
本发明涉及等离子处理,尤其是涉及一种用于改进的等离子处理的方法。
发明背景
典型地,在材料处理过程中,当制造复合材料结构时,可利用等离子来方便地添加或去除材料薄层。例如,在半导体处理中,可利用等离子(干法)蚀刻处理沿细线或在形成于硅基片上的通孔或触点内去除或蚀刻材料。等离子蚀刻处理通常包括将半导体基片定位到处理室内,该半导体基片具有覆盖图案保护层,例如光阻层。基片定位到室内后,以预定流速将一种离子化的、分离的气体混合物引入到室内,并堵塞真空泵以获得环境处理压力。
此后,受热电子将现有的部分气体粒子离子化,形成等离子,这是通过传输感性或容性射频(RF)功率,或微波功率,例如利用电子回旋加速器谐振源(ECR)产生的微波功率来实现的。而且,受热电子用来分离一些环境气体粒子,并产生适于暴露表面蚀刻化学处理的反应物粒子。形成等离子后,基片的所有暴露表面都受到等离子的蚀刻。处理需要调节到最佳条件,包括适当浓度的所需反应物和离子群,以在基片的暴露表面区域蚀刻各种零件(例如沟槽、通孔、触点等)。这种需要蚀刻的基片材料包括二氧化硅(SiO2)、多晶硅和氮化硅。
随着零件尺寸的缩小和集成电路(IC)制造中所采用的蚀刻处理步骤的数量和复杂度的逐步增加,这样一种控制能力变得更为严格,即控制反应物的传输和从蚀刻零件流出的蚀刻产品,以获得适当的化学平衡,而这种化学平衡是获得高速蚀刻和优良材料选择所必需的。
在多数干法蚀刻应用,例如氧化(SiO2)蚀刻中,蚀刻速度包括物理组成部分和化学组成部分。等离子化学处理产生一群带正电(相对较重)的离子(如单电荷氩离子)和一群化学基根(如碳氟化合物中的氟原子F、CF、CF2、CF3或更普通的CFx粒子),带正电的离子用于物理组成部分,化学基根用于化学组成部分。而且,化学反应物(CFx)在表面蚀刻化学作为反应物,正电(重)离子(Ar+)提供能量来催化表面反应。
随着零件尺寸的逐步缩小,零件尺寸缩小的速度比氧化物(和其它薄层)厚度缩小的速度快。从而,蚀刻零件深宽比(零件深度比宽度)随着尺寸缩小而大幅度增加(级数10∶1)。随着深宽比的增加,蚀刻零件附近的化学反应物的方向性和离子传输越发变得重要,以保持蚀刻零件的有向性。
带电荷的粒子的传输会受到电力的影响,因此,传统工业是提供一个带RF偏压的基片架(卡盘),以通过等离子壳层向基片表面吸引和加速离子,从而使得离子到达基片时,它们的移动方向基本上与基片垂直。但是,中性化学反应粒子的传输不受电力应用的影响,它们在基片表面处的移动方向性不能够被断言。
发明内容
本发明提供了一种等离子处理系统和操作方法,以影响改进暴露的基片表面附近的化学传输,以便提高蚀刻速度、蚀刻选择性和蚀刻零件的侧壁轮廓,特别是高深宽比的零件。暴露的基片表面暴露在材料蚀刻步骤或沉淀步骤中,这些步骤的综合运用可改变材料成分和/或暴露的基片表面的拓扑形状。
本发明有利地提供了一种等离子处理系统,它包括:处理室、位于处理室内的基片架、用来向处理室供应第一气体和第二气体的气体注入系统。该系统进一步包括控制器,它用来控制气体注入系统向处理室连续流地供应第一气体流,并在第一时间向处理室持续脉冲地供应第二气体流。控制器在第二时间向基片架脉冲RF功率。
本发明进一步有利地提供了一种操作等离子处理系统的方法。该方法包括调节处理室中的背景压力的步骤,其中背景压力是通过利用气体注入系统流动第一气体流而建立的,还包括在处理室中点燃处理等离子步骤。该方法进一步包括利用气体注入系统在第一时间脉冲第二气体流,在第二时间向基片架脉冲RF功率的步骤。
附图简要说明
下面结合附图来详细描述发明的实施例,以更好地阐明发明的这些以及其它目的和优点,其中:
图1描绘了根据本发明一种实施方式的等离子处理设备的示意图;
图2是根据图1的实施方式的气体注入脉冲和射频偏压脉冲的时序图;和
图3描绘了操作根据图1的实施方式的系统的过程。
优选实施例的详细说明
为了提高蚀刻速度、蚀刻选择性和蚀刻零件侧壁轮廓,特别是高深宽比的零件,本发明改进了一种等离子处理系统和操作方法,以改进暴露的基片表面附近的化学传输。暴露的基片表面暴露在材料蚀刻步骤或沉淀步骤中,这些步骤的综合运用可改变材料成分和/或暴露的基片表面的拓扑形状。材料蚀刻或沉淀的一个方面是化学传输,它在高宽深比零件中受到严重限制,这是因为与低压处理相关的低密度和基片材料零件附近缺乏化学传输方向性造成的。这里描述了一种方法,它周期性地脉冲气流和脉冲RF功率到基片架上,以便改进基片附近的化学传输。
脉冲气流导致暴露的基片表面附近的气压增加,从而引起平均自由路程局部降低,即基片表面附近碰撞的可能性增加。脉冲RF功率到基片架导致脉冲宽度在脉冲持续期间内下降的可能性增加,在脉冲宽度持续期间,壳层厚度增加。随后,平均自由路程降低到比壳层厚度低的数值,在这段较短时间内,离子-中和碰撞的可能性显著地增加,而电荷交换碰撞或简单的动量传递碰撞都进一步产生更多的高能的、有向的中性粒子,它们以与基片表面垂直的方向移动落下。因而,质量和动量的垂直通量在零件入口处增加。现在描述根据本发明的等离子处理系统及其操作方法。
本发明一般涉及一种等离子处理系统,它包括气体注入系统,该系统能够通过第一组气体注入孔持续地供应第一处理气体,通过第二组气体注入孔持续地脉冲第二处理气体。处理系统进一步包括施加到基片架上的RF偏压,基片架上停靠有基片。基片暴露到等离子处理中,以方便向基片添加(沉淀)材料或从基片上去除(蚀刻)材料。
图1中显示了一个等离子处理系统1,它包括一个处理室10,其中气体注入系统11的气体注入板12定位成与基片架14直接相对,基片架14上附着有基片16。气体注入系统11方便了通过气体注入板12将第一气体流20持续注入到和将第二气体流30脉冲注入到等离子处理室10中。第一气体流20的连续流来自第一气体源26,经输气管道22通过质量流控制器24。第二气体流30的脉冲流来自第二气体源36,经输气管道32通过脉冲气体注入歧管34。
图1的处理系统进一步包括一个来自振荡器50的RF偏压,它通过阻抗匹配网络52施加到基片架14上。放大器54放大来自振荡器50的RF偏压信号输出的幅度,它易通过来自波形信号发生器56的信号58输出受到幅度调制。放大器54将放大了的RF偏压信号送到阻抗匹配网络52。
接着参照图1,基片架14用RF电源偏压,其中来自振荡器50的RF信号通过阻抗匹配网络52和放大器54连接到基片架14。信号放大通过来自波形信号发生器56的输入信号58受到幅度调制。
放大器54可以是线性RF放大器,这种类型的放大器适于接收来自振荡器50的振荡器输入和来自波形信号发生器56的幅度调制信号58。来自波形信号发生器56的信号58输出的一个例子是脉冲波。一个代表性的系统包括放大器54和内部脉冲发生器,如Dressler(2501North Rose Drive,Placentia,CA 92670)的商用线性RF放大器(型号LPPA线)。上面的放大器能够在持续模式或脉冲模式下操作,当频率在10到500MHz之间变化时,RF功率在400到8000w之间变化。而且,上面的放大器能够获得的脉冲宽度可短到20毫秒。
阻抗匹配网络52通过使反射功率最小化使得处理室10中RF功率到等离子的传输最大化。用于获得该目标的匹配网络拓扑(例如L型、II型、T型等)已为人知。用于例如L型配置中的调节电容C1和C2的匹配网络设置在启动和运行时间条件中的都通过控制器70受到控制。较佳地,可采用自动匹配网络控制方法在整个处理中维持优化配置。而典型的匹配网络响应时间大约为150毫秒。因此,不能期望传统(机械调节)的匹配网络能够优化响应,使脉冲宽度小于150毫秒。在这种情况下,传统的匹配网络可设计成在持续流处理气体条件的基础上运行和启动给定点。另一方面,如果采用的脉冲宽度超过数百毫秒,那么传统的匹配网络就能够足够快地响应,并提供优化的阻抗匹配,即使在脉冲周期中也是如此。下面提供进一步的讨论。
另外,图1的处理系统1进一步包括一个真空泵系统42,通过该真空泵系统42,能够从等离子处理室10中去除(或排空)处理气体和流出气体。真空泵系统42较佳地包括一个涡轮分子真空泵(TMP),其抽气速率最大可达到每秒5000升(或更大),其闸阀可用来堵塞室压。TMP对低压处理,典型地小于50毫托有用。在高压下,TMP抽气速率急剧下降。对高压处理(即大于100毫托)而言,建议使用机械升压泵和干低真空泵。
此外,等离子处理系统1进一步包括连接到真空泵系统42的控制器70、阻抗匹配网络52、放大器54和波形信号发生器56。另外,控制器70连接到质量流控制器24、第一气体源26、第二气体源36和脉冲气体注入歧管34上,以便在等离子处理系统1中控制气体注入参数。
控制器70包括微处理器、存储器和数字输入/输出端口,它能够生成足够的控制电压来将输入传送和激活到气体注入系统11。而且,控制器70与阻抗匹配网络52、放大器54和波形信号发生器56之间交换信息。控制器70与气体供应26和36、质量流控制器24和脉冲气体注入歧管34之间交换状态数据。另外,控制器70向真空泵55发送控制信号,并接受来自真空泵55的控制信号。例如,控制一个闸阀。存贮在存储器中的程序包括一个处理方法,它能够根据需要来激活阀和相应的气体流速。控制器70的一个例子是型号#SB C2486DXPC/104嵌入式计算板,该商业产品来自位于3730 Park Place,Gendale,CA 91020的Micro/sys公司。
在操作等离子处理系统1的过程中,处理气体通过气体注入系统11被引入到等离子处理室10中,其中提供有装置来持续地流动第一气体流20和脉冲第二气体流30。第一和第二气体流20和30分别源自气体供应26和36。气体供应26和36可包括一个室来容纳许多压缩了的气瓶,并包括压力调节器来保证气体处理操作安全。第一气体流20的连续流可通过为本领域熟练技术人员所熟知的气体喷头配置来获得。
在一个最佳实施方式中,第一气体流20的连续流通过气体注入板12被引入到处理室10中。在另一种实施方式中,气体流20的连续流通过处理室10的室壁被引入到处理室10中。在一个最佳实施方式中,质量流控制器24监视和控制由气体供应26供应的第一处理气体的质量流速。第二气体30的脉冲是通过脉冲气体注入歧管34获得的。脉冲气体注入歧管34可包括一个或多个压力调节器、一个或多个脉冲气体注入阀和一个气体分布歧管。2001年3月提交的待审查的美国申请60/272,452详细地描述了一个代表性的脉冲气体注入系统,该申请全文在此被引用以供参考。在一个最佳实施方式中,第二气体流30的脉冲流通过气体注入板12被引入到处理室10中。
在另一个实施方式中,气体注入板12可由金属如铝加工而成,而与等离子接触的那些表面可受到阳极氧化以形成氧化铝防护涂层或Y2O3喷雾涂层。此外,气体注入板12可由硅或碳制造而成,以用来作为换气板,或者由碳化硅制造而成,以提高耐腐蚀性。
基片16可通过装置传输到等离子处理室10中,以也可从等离子处理室10中传输出来,这种装置对本领域熟练技术人员而言是广为人知的。而且,基片16最好通过静电夹(未显示)固定到基片架14上,还可提供后部气体(未显示)来提高基片16和基片架14之间的热导。基片架14能进一步地包括加热和冷却装置(未显示),以方便控制基片16的温度。
图2示意性地阐述了操作图1所描述的实施方式的方法。其中显示了第一气体流20的第一时间的流速曲线,通常标为110,其中流速112在处理长度内保持不变。并显示了第二气体流30的第二时间的流动性曲线,通常标为120,其中流动性122最好是注入总压力。注入总压力是一个通过脉冲气体注入歧管34调制的脉冲,其脉冲幅度为122,脉冲宽度为126,脉冲周期为124。脉冲宽度126和脉冲周期124的比值可称为脉冲占空周期。另外,脉冲流动性122可为第二气体流30的质量流速。
与第一和第二时间曲线相应,图中显示了RF偏压功率的第三时间曲线,通常标为130,其中RF偏压功率脉冲的脉冲宽度为138,脉冲周期为136。脉冲宽度138和脉冲周期136的比值可进一步地称为脉冲占空周期。在一个最佳实施方式中,RF功率的脉冲宽度138和脉冲周期136基本上分别等于第二气体流的脉冲宽度为122和脉冲周期为124。在另一种实施方式中,RF功率的脉冲占空周期基本上等于第二气体流的脉冲占空周期。在另一种实施方式中,第二气体流的脉冲宽度基本上与RF功率的脉冲宽度不同。在另一种实施方式中,第二气体流的脉冲周期基本上与RF功率的脉冲周期不同。在另一种实施方式中,第二气体流的占空周期基本上与RF功率的脉冲占空周期不同。在进一步的一种实施方式中,RF功率的脉冲波形相对于第二气体流的气体脉冲波形具有时间140的位移或偏移。
第一气体流20的流速可在100到5000sccm(等效氩流速)范围内变动。室压可在1到1000毫托范围内变动。第二气体流30气体的注入总压力可在50到1000托范围内变动。脉冲宽度可在1到1000毫秒范围内变动,脉冲周期可在10毫秒到10秒范围内变动。
在一个最佳实施方式中,根据图2阐述的操作方法的处理方法得到了描述。第一气体流20包括Ar/C4F8/O2的气体混合物,相应流速为400/7/12sccm。处理室中的背景压力设为20毫托,例如,可通过在抽气端口或在处理区域外部的室壁处感应室压,并调节真空泵系统闸阀来实现。第二气体流30是Ar/C4F8/O2的气体混合物,其相应分压力基本上等于第一气体流20的各分压力。第二气体流30的气体注入总压力最好为大气压力(即约760托)。最后,第二气体流脉冲和RF功率脉冲的脉冲宽度和脉冲周期基本上相等,分别设为20毫秒和200毫秒。
在最佳操作方法中,20毫秒的处理气体脉冲宽度可通过美国未决申请60/272,452所阐述的气体注入配置来获得,20毫秒的RF功率脉冲宽度可通过上述RF功率源商业产品来获得。也如上所述,当RF功率脉冲宽度小于传统的阻抗匹配网络的响应时间(即约150毫秒)时,可要求另一种技术来获得优化的阻抗匹配。如上所述的线性RF放大器现在装配有频移调节,特别地,它们能够用于1.6到4MHz的频率(Dressler RF技术)。对超过商业上可行选择的频率而言,要求其它的解决方案,如在1999年7月13日提交的美国未决申请60/143,548中描述的自激振荡器,该申请全文在此被引用以供参考。
图3给出了操作图1描述的实施方式的方法。在步骤500中,在等离子处理系统1中启动等离子处理。在步骤510中,根据存贮的处理方法,控制器70通过气体注入系统11为第一气体流20启动流速112。从步骤500中的处理开始到步骤630中的处理结束为止,第一气体流20以不变的质量流速112持续地被引入到处理室10中。在步骤520中,根据存贮的处理方法,连接到真空泵系统42上的控制器70调节处理室10中的背景压力。
当建立了第一处理气体流速,并设置了背景压力后,在步骤530中,根据控制器70存贮的处理方法,处理等离子通过基片架RF功率被点燃。在步骤540中,控制器70触发步骤550中的第二气体流脉冲和步骤580中的RF功率脉冲,而同时具有或没有步骤570中的相位延迟。第二气体流脉冲在步骤560中结束,RF功率脉冲在步骤590中结束,处理脉冲在步骤600中完成。
在步骤610中,采用一个终点检测方法来计算是否到达处理终点,该检测方法例如发射光谱、阻抗匹配网络成分监视等。如果到达一个处理终点,那么在步骤630中处理结束。如果处理没有完成,那么在步骤620中分别为第二处理气体脉冲和RF功率脉冲施加一个相当于各自的脉冲周期的时间延迟。接着重复步骤540到步骤630。
虽然上面只详细描述了本发明的某些确定的代表性实施方式,但是在没有根本背离本发明的新颖性教导和优点的情况下,本领域熟练技术人员会从代表性实施方式中对本发明引申出许多变化。因此,所有这些变化都将包含在本发明的范围内。

Claims (50)

1.一种等离子处理系统,包括:
处理室;
设置在所述处理室内的基片架;
气体注入系统,其设置成向所述处理室供给第一气体和第二气体;
以及
控制器,它设置成控制所述气体注入系统向所述处理室持续地流动第一气体流,并在第一时间向所述处理室脉冲第二气体流,所述控制器设置成在第二时间向所述基片架脉冲RF功率。
2.根据权利要求1所述的系统,其特征在于:所述气体注入系统的气体注入板基本上与所述基片架的基片接收表面平行,所述气体注入板设置用来在与所述基片架的所述基片接收表面基本垂直的方向上将所述第一气体流和所述第二气体流中的至少一个引入到所述处理室中。
3.根据权利要求1所述的系统,其特征在于:所述控制器设置用来提供所述第二气体流的脉冲宽度,该脉冲宽度基本上等于所述RF功率脉冲的脉冲宽度。
4.根据权利要求1所述的系统,其特征在于:所述控制器设置用来提供所述第二气体流的脉冲周期,该脉冲周期基本上等于所述RF功率脉冲的脉冲周期。
5.根据权利要求1所述的系统,其特征在于:所述控制器设置用来提供所述第二气体流的脉冲占空周期,该脉冲占空周期基本上等于所述RF功率脉冲的脉冲占空周期。
6.根据权利要求1所述的系统,其特征在于:所述控制器设置成使得所述第二气体流脉冲的所述第一时间基本上等于所述RF功率脉冲的所述第二时间。
7.根据权利要求1所述的系统,其特征在于:所述控制器设置成使得所述第二气体流脉冲的所述第一时间偏离所述RF功率脉冲的所述第二时间。
8.根据权利要求1所述的系统,其特征在于:所述控制器设置用来在所述处理室内调节背景压力。
9.根据权利要求1所述的系统,还包括振荡器,该振荡器连接到所述基片架上,用于提供所述RF功率,所述振荡器产生RF信号。
10.根据权利要求9所述的系统,还包括放大器,该放大器连接到所述振荡器上。
11.根据权利要求10所述的系统,其特征在于:所述放大器是一种线性放大器。
12.根据权利要求10所述的系统,还包括阻抗匹配网络,该阻抗匹配网络将所述放大器连接到所述基片架上。
13.根据权利要求12所述的系统,其特征在于:所述控制器连接到所述放大器和所述阻抗匹配网络上,并构造成控制所述放大器和所述阻抗匹配网络。
14.根据权利要求10所述的系统,它还包括波形发生器,该波形发生器构造用来产生输入信号,该波形发生器连接到所述放大器上,其中,所述RF信号由所述放大器接收,所述RF信号通过由所述放大器接收的来自所述波形发生器的所述输入信号受到幅度调制。
15.根据权利要求14所述的系统,其特征在于:所述输入信号是脉冲波形。
16.根据权利要求14所述的系统,其特征在于:所述控制器连接到所述波形发生器上,并构造成控制所述波形发生器。
17.根据权利要求1所述的系统,所述气体注入系统包括第一气体源和第二气体源,该第一气体源连接到质量流控制器上,该第二气体源连接到脉冲气体注入歧管上。
18.根据权利要求17所述的系统,其特征在于:所述脉冲气体注入歧管包括压力调节器、脉冲气体注入阀和气体分布歧管。
19.根据权利要求17所述的系统,所述控制器连接到所述第一气体源、所述质量流控制器、所述第二气体源和所述脉冲气体注入歧管上,并构造成控制该第一气体源、该质量流控制器、该第二气体源和该脉冲气体注入歧管。
20.一种等离子处理系统,包括:
处理室;
设置在所述处理室内的基片架;
气体注入系统,其设置成向所述处理室供给第一气体和第二气体;
以及
控制装置,它通过所述气体注入系统向所述处理室提供连续的第一气体流,并且在第一时间通过所述气体注入系统向所述处理室提供第二气体流脉冲,以及在第二时间向所述基片架提供RF功率脉冲。
21.根据权利要求20所述的系统,其特征在于:所述气体注入系统的气体注入板基本上与所述基片架的基片接收表面平行,所述气体注入板设置成在与所述基片架的所述基片接收表面基本垂直的方向上将所述第一气体流和所述第二气体流中的至少一个引入到所述处理室中。
22.根据权利要求20所述的系统,其特征在于:所述控制装置设置用来提供所述第二气体流的脉冲宽度,该脉冲宽度基本上等于所述RF功率脉冲的脉冲宽度。
23.根据权利要求20所述的系统,其特征在于:所述控制装置设置用来提供所述第二气体流的脉冲周期,该脉冲周期基本上等于所述RF功率脉冲的脉冲周期。
24.根据权利要求20所述的系统,其特征在于:所述控制装置设置用来提供所述第二气体流的脉冲占空周期,该脉冲占空周期基本上等于所述RF功率脉冲的脉冲占空周期。
25.根据权利要求20所述的系统,其特征在于:所述控制装置设置成使得所述第二气体流脉冲的所述第一时间基本上等于所述RF功率脉冲的所述第二时间。
26.根据权利要求20所述的系统,其特征在于:所述控制装置设置成使得所述第二气体流脉冲的所述第一时间偏离所述RF功率脉冲的所述第二时间。
27.根据权利要求20所述的系统,其特征在于:所述控制装置设置用来在所述处理室内调节背景压力。
28.根据权利要求20所述的系统,还包括振荡器,该振荡器连接到所述基片架上,用于提供所述RF功率,所述振荡器产生RF信号。
29.根据权利要求28所述的系统,还包括放大器,该放大器连接到所述振荡器上。
30.根据权利要求29所述的系统,其特征在于:所述放大器是一种线性放大器。
31.根据权利要求29所述的系统,还包括阻抗匹配网络,该阻抗匹配网络将所述放大器连接到所述基片架上。
32.根据权利要求31所述的系统,其特征在于:所述控制装置连接到所述放大器和所述阻抗匹配网络上,并构造成控制该放大器和阻抗匹配网络。
33.根据权利要求29所述的系统,还包括波形发生器,该波形发生器构造用来产生输入信号,该波形发生器连接到所述放大器上,其中,所述RF信号由所述放大器接收,所述RF信号通过由所述放大器接收的来自所述波形发生器的所述输入信号受到幅度调制。
34.根据权利要求33所述的系统,其特征在于:所述输入信号是脉冲波形。
35.根据权利要求33所述的系统,其特征在于:所述控制装置连接到所述波形发生器上,并构造成控制该波形发生器。
36.根据权利要求20所述的系统,所述气体注入系统包括第一气体源和第二气体源,该第一气体源连接到质量流控制器上,该第二气体源连接到脉冲气体注入歧管上。
37.根据权利要求36所述的系统,其特征在于:所述脉冲气体注入歧管包括压力调节器、脉冲气体注入阀和气体分布歧管。
38.根据权利要求36所述的系统,所述控制装置连接到所述第一气体源、所述质量流控制器、所述第二气体源和所述脉冲气体注入歧管上,并构造成控制该第一气体源、该质量流控制器、该第二气体源和该脉冲气体注入歧管。
39.一种操作等离子处理系统的方法,该方法包括下列步骤:
调节处理室中的背景压力,其中,该背景压力是通过利用气体注入系统流动第一气体流建立的;
在处理室中点燃处理等离子;
利用气体注入系统在第一时间脉冲第二气体流;以及
在第二时间向基片架脉冲RF功率。
40.根据权利要求39所述的方法,其特征在于:所述脉冲第二气体流的步骤执行预定的脉冲宽度。
41.根据权利要求39所述的方法,其特征在于:所述脉冲第二气体流的步骤执行预定的脉冲周期。
42.根据权利要求39所述的方法,其特征在于:执行所述脉冲第二气体流的步骤以获得预定的脉冲占空周期。
43.根据权利要求39所述的方法,其特征在于:所述脉冲RF功率的步骤执行预定的脉冲宽度。
44.根据权利要求39所述的方法,其特征在于:所述脉冲RF功率的步骤执行预定的脉冲周期。
45.根据权利要求39所述的方法,其特征在于:执行所述脉冲RF功率的步骤以获得预定的脉冲占空周期。
46.根据权利要求39所述的方法,其特征在于:所述脉冲第二气体流的步骤执行第一脉冲宽度,所述脉冲RF功率的步骤执行第二脉冲宽度,所述第一脉冲宽度基本上等于所述第二脉冲宽度。
47.根据权利要求39所述的方法,其特征在于:所述脉冲第二气体流的步骤执行第一脉冲周期,所述脉冲RF功率的步骤执行第二脉冲周期,所述第一脉冲周期基本上等于所述第二脉冲周期。
48.根据权利要求39所述的方法,其特征在于:执行所述脉冲第二气体流的步骤以获得第一脉冲占空周期,执行所述脉冲RF功率的步骤以获得第二脉冲占空周期,所述第一脉冲占空周期基本上等于所述第二脉冲占空周期。
49.根据权利要求39所述的方法,其特征在于:所述第二气体流脉冲的第一时间基本上等于所述RF功率脉冲的第二时间。
50.根据权利要求39所述的方法,其特征在于:所述第二气体流脉冲的第一时间偏离所述RF功率脉冲的第二时间。
CNB028168224A 2001-08-29 2002-08-29 用于等离子处理的装置和方法 Expired - Lifetime CN100462475C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31536901P 2001-08-29 2001-08-29
US60/315,369 2001-08-29

Publications (2)

Publication Number Publication Date
CN1549872A true CN1549872A (zh) 2004-11-24
CN100462475C CN100462475C (zh) 2009-02-18

Family

ID=23224081

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028168224A Expired - Lifetime CN100462475C (zh) 2001-08-29 2002-08-29 用于等离子处理的装置和方法

Country Status (4)

Country Link
US (1) US7199328B2 (zh)
JP (1) JP4763235B2 (zh)
CN (1) CN100462475C (zh)
WO (1) WO2003021002A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1831192B (zh) * 2005-03-11 2011-06-29 东京毅力科创株式会社 半导体处理用成膜方法和成膜装置
CN104347341A (zh) * 2013-08-02 2015-02-11 朗姆研究公司 用于蚀刻的快速气体切换
CN104465290A (zh) * 2013-09-24 2015-03-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统的阻抗匹配方法及射频脉冲系统
CN104752139A (zh) * 2013-12-30 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统及其阻抗匹配方法

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
DE112006000327T5 (de) * 2005-03-03 2007-12-27 Applied Materials, Inc., Santa Clara Vorrichtung zur Temperatursteuerung eines Substrats
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
JP2010118549A (ja) * 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
JP5921580B2 (ja) * 2014-01-15 2016-05-24 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6541596B2 (ja) * 2016-03-22 2019-07-10 東京エレクトロン株式会社 プラズマ処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6592400B2 (ja) * 2016-05-19 2019-10-16 東京エレクトロン株式会社 エッチング方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11688650B2 (en) * 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
US20210066064A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Methods and apparatus for cleaning metal contacts
US11322364B2 (en) * 2020-04-01 2022-05-03 Tokyo Electron Limited Method of patterning a metal film with improved sidewall roughness
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11915910B2 (en) * 2021-03-25 2024-02-27 Tokyo Electron Limited Fast neutral generation for plasma processing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401507A (en) * 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
DE3574997D1 (de) * 1984-03-03 1990-02-01 Stc Plc Pulsierendes plasmaverfahren.
US4891087A (en) * 1984-10-22 1990-01-02 Texas Instruments Incorporated Isolation substrate ring for plasma reactor
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
KR0183844B1 (ko) * 1996-04-30 1999-05-15 김광호 알에프 발생 장치 및 이를 이용한 펄스 플라즈마 형성 방법
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6200651B1 (en) * 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1831192B (zh) * 2005-03-11 2011-06-29 东京毅力科创株式会社 半导体处理用成膜方法和成膜装置
US8343594B2 (en) 2005-03-11 2013-01-01 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
CN104347341A (zh) * 2013-08-02 2015-02-11 朗姆研究公司 用于蚀刻的快速气体切换
CN104347341B (zh) * 2013-08-02 2017-08-15 朗姆研究公司 用于蚀刻的快速气体切换
CN104465290A (zh) * 2013-09-24 2015-03-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统的阻抗匹配方法及射频脉冲系统
CN104465290B (zh) * 2013-09-24 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统的阻抗匹配方法及射频脉冲系统
CN104752139A (zh) * 2013-12-30 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统及其阻抗匹配方法
CN104752139B (zh) * 2013-12-30 2017-03-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种射频脉冲系统及其阻抗匹配方法

Also Published As

Publication number Publication date
WO2003021002A1 (en) 2003-03-13
US7199328B2 (en) 2007-04-03
JP4763235B2 (ja) 2011-08-31
US20040195216A1 (en) 2004-10-07
JP2005502198A (ja) 2005-01-20
CN100462475C (zh) 2009-02-18

Similar Documents

Publication Publication Date Title
CN100462475C (zh) 用于等离子处理的装置和方法
US20050126712A1 (en) Plasma processing method
US6737366B2 (en) Method of forming low dielectric constant insulation film for semiconductor device
KR20140147094A (ko) 기판 상에 재료 증착 및/또는 에칭하는 방법 및 장치
CN102187437B (zh) 使用化学气相沉积钝化的硅蚀刻
US4863549A (en) Apparatus for coating or etching by means of a plasma
US9011631B2 (en) Rapid and uniform gas switching for a plasma etch process
WO2001012873A1 (en) Pulsed plasma processing method and apparatus
US20020040766A1 (en) Plasma processing apparatus and a plasma processing method
GB2175708A (en) Reaction apparatus
WO2000005749A2 (en) Method and apparatus for anisotropic etching
JPH0697155A (ja) 金属酸化物層を形成する方法、そのための真空処理装置および少なくとも1つの金属酸化物層をコーティングされた部品
WO1997008361A1 (fr) Appareil pour traitement de surface utilisant un jet de gaz
JPH0119261B2 (zh)
JP2764575B2 (ja) ラジカルの制御方法
US20040115364A1 (en) Method for the production of a functional coating by means of high-frequency plasma beam source
US20120222617A1 (en) Plasma system and method of producing a functional coating
TW202036678A (zh) 電漿處理裝置及使用此的試料之處理方法
JPS6187319A (ja) プラズマを用いた化学気相成膜装置
CN100362624C (zh) 一种控制晶片上的直流偏压的装置
US20110129617A1 (en) Plasma system and method of producing a functional coating
Yousif et al. Plasma-induced etching of silicon surfaces
JPH05331640A (ja) イオン化蒸着装置
US20080271987A1 (en) System and method for preparing nanoparticles using non-thermal pulsed plasma
CN1802723A (zh) 用于膨胀热等离子体的电感耦合的系统和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090218