CN1433566A - 压力控制方法 - Google Patents

压力控制方法 Download PDF

Info

Publication number
CN1433566A
CN1433566A CN00818767A CN00818767A CN1433566A CN 1433566 A CN1433566 A CN 1433566A CN 00818767 A CN00818767 A CN 00818767A CN 00818767 A CN00818767 A CN 00818767A CN 1433566 A CN1433566 A CN 1433566A
Authority
CN
China
Prior art keywords
pressure
aforementioned
data
pressure data
resolution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00818767A
Other languages
English (en)
Other versions
CN100388433C (zh
Inventor
广濑英仁
铃木绅吾
岩渕纪之
横内健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1433566A publication Critical patent/CN1433566A/zh
Application granted granted Critical
Publication of CN100388433C publication Critical patent/CN100388433C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Fluid Pressure (AREA)

Abstract

利用压力检测范围不同的第一和第二压力传感器132,134检测蚀刻装置100的处理室102内的压力。压力控制器144从第一及第二压力传感器132,134的各压力数据根据处理室102内的压力选择最佳压力数据。进而,对应处理室102内的压力利用所选择的分辨率分解所选择的压力数据,求出规定的数据密度的压力数据。压力控制器144以使该压力数据跟随设定压力数据的方式控制压力调整阀130。

Description

压力控制方法
技术领域
本发明涉及压力控制方法,特别是涉及设置在半导体制造装置中的压力控制机构的压力控制方法。
背景技术
历来,在半导体装置的制造工艺中,使用进行各种等离子加工的等离子加工装置,例如,使用等离子体蚀刻装置。该装置的结构为,在维持在规定的减压气氛下的处理室内生成等离子体,对配置在处理室内的被处理体进行处理。并且,根据压力传感器的压力数据通过控制压力(排气量)调整阀调整处理室内的压力。
近来,伴随着半导体装置的超高集成化及超多层化的倾向,通过对材料不同的多个膜进行连续处理进行蚀刻。在该处理当中,有时在处理过程中根据膜的材料增减处理室内的压力。进而,根据膜的材料,要求在几个Pa左右的低压下进行处理。此外,将压力传感器设定能检测的压力检测范围,一般地,越是能够以高精度检测低压力,其压力检测范围越窄。因此,为了监视各个膜的处理压力的检测及处理室内的整个压力变化,有时需要适当地切换多个压力传感器以便获得压力控制用的压力数据。
但是,在上述现有技术中,处理装置根据预先设定的切换信息进行各压力传感器的切换。即,压力控制器不能根据压力传感器的压力数据自动地进行各压力传感器的切换。因此,在处理室内压力变化大时,不能迅速地进行压力传感器的选择,很难获得追随压力变化的压力数据。其结果是,存在着处理室内的压力控制滞后,容易造成处理不均匀的问题。
此外,近来,由于半导体装置的超微细化趋势,在蚀刻处理中也要求对被处理体进行超微细化处理。在对被处理体进行超微细化加工时,要严格地调整处理室内的压力,有必要维持在规定的压力。因此,要求更仔细地分析压力传感器的压力数据,可靠地进行压力控制。但是,当在对处理没有影响的压力范围内也进行检测压力的详细分析时,会增加运算处理时间。其结果是存在着导致压力调整阀的响应性下降的问题。
本发明是鉴于上述现有技术中所存在的上述问题形成的,其目的是提供一种可以解决上述问题及其它问题、新型且得到改善的压力控制方法。
发明概述
为了解决上述课题,根据本发明的第一个方面,提供一种压力控制方法,在根据检测处理室内的压力的压力检测机构的压力数据,控制调整处理室内压力的压力调整机构的压力控制机构的压力控制方法中,其特征为,所述控制方法包括以下工序:对于压力检测范围不同的多个压力检测机构的每一个,设定获得压力数据的压力数据获取范围的工序;基于各个压力数据获取范围,设定从各压力检测机构的压力数据中选择出用于压力控制的压力数据的压力数据选择值的工序;将各压力检测机构的压力数据与压力数据选择值进行比较,选择用于压力控制的压力数据的工序;根据所选择的压力数据和基于设定压力值的设定压力数据,控制压力调整机构的工序。
根据这种方法,基于各压力检测机构的压力数据可以获得对应于处理室内的压力的最佳压力检测机构的压力数据。因此,即使采用多个压力检测范围不同的压力检测机构,也可以迅速地获得所要求的压力数据,其结果是,即使用多个压力检测机构也可以确保和只使用一个压力检测机构时几乎相同的控制特性。
优选地,压力数据获得范围以如下方式设定,即,在各个压力检测机构的压力检测范围重迭的范围内,从各压力检测机构的压力数据中选择压力检测精度高的压力检测机构的压力数据。根据这种方法,可以在各压力检测机构的压力检测范围重迭的范围内,获得压力检测精度高的压力检测机构的压力数据。其结果是,由于利用最佳的压力数据进行压力控制,从而可进一步提高压力的控制性能。
优选地,以所选择的压力数据的数据值跟踪设定压力数据的数据值的方式控制压力调整机构。根据这种方法,可以以使处理室内部与设定压力实质上相同的方式控制压力调整机构。其结果是,可以使处理室的内部维持在设定的压力上。
此外,根据本发明的第二个方面,提供一种压力控制的方法,在基于检测处理室内压力的压力检测机构的压力数据控制调整处理室内压力的压力调整机构的压力控制机构的压力控制方法中,其特征为,它包括以下工序:对应于压力检测机构的压力检测范围,对将压力数据分解成多个虚拟地使压力数据的数据密度变化的两个以上的分辨率的各分辨率适用范围进行设定的工序;基于各分辨率的适用范围,设定从各分辨率中选择出一个分辨率的分辨率选择值的工序;比较压力数据与分辨率选择值,从各分辨率中选择出一个分辨率的工序;基于选择的分辨率,虚拟地使压力数据的数据密度变化的工序;根据使数据密度变化的压力数据以及基于设定压力值的设定压力数据控制压力调整机构的工序。
根据这种方法,可以以规定的分辨率将从压力检测机构得到的数据分解,使之变化成规定的数据密度。进而,将压力检测机构的压力检测范围划分成特定的范围,可以对于划分的每一个特定范围设定不同的分辨率。因此,例如在包含进行处理的设定压力的特定范围内,通过提高分辨率可以获得更正确的压力信息,能够进行可靠的压力检测。
优选地,在包含设定压力值的特定压力范围内,以压力数据的数据密度增加的方式设定分辨率。根据这种方法,在包含进行处理的设定压力的特定范围内,可以获得正确的压力信息,可以进行严格的压力控制。
此外,优选地,以随着从大气压力接近于设定压力值,压力数据的数据密度增加的方式设定分辨率。根据这种方法,随着处理室内的压力从大气压接近设定压力值,可以虚拟地提高压力的检测精度。其结果是,可以同时兼顾处理时的严格的压力控制和简化不进行处理时压力数据的运算。
优选地,以数据密度发生变化的压力数据的数据值跟踪设定压力数据的数据值的方式控制压力调整机构。根据这种方法,可以使处理室内部维持在设定的压力。
此外,根据本发明的第三个方面,提供一种压力控制方法,在基于检测处理室内压力的压力检测机构的压力数据控制调整处理室内压力的压力调整机构的压力控制机构的压力控制方法中,其特征为,它包括以下工序:对于压力检测范围不同的多个压力检测机构的每一个,设定获得压力数据的压力数据获取范围的工序;基于各压力数据获取范围,设定从各压力检测机构的压力数据中选择用于压力控制的压力数据的压力数据选择值的工序;在各个压力数据获取范围的每一个中,把压力数据分解为多个并设定使压力数据的数据密度虚拟地变化的两个以上的分辨率中各个分辨率的适用范围的工序;基于各个分辨率的适用范围,设定从对应于所选择的压力数据的各分辨率中选择一个分辨率的分辨率选择值的工序;比较各压力检测机构的压力数据与压力数据选择值、选择用于压力控制的压力数据的工序;比较所选择的压力数据与分辨率选择值,从各分辨率中选择一个分辨率的工序;基于所选择的分辨率,使所选择的压力数据的数据密度虚拟地变化的工序;根据使数据密度变化的压力数据及基于设定压力值的设定压力数据,控制压力调整机构的工序。
根据这种方法,基于压力数据,可以从多个压力检测机构的压力数据中选择出最佳的数据。从而,与压力检测机构的数目无关,可以确保只用一个压力检测机构的压力数据时的控制特性。并且,根据这种方法,可以在各压力检测机构的各自的压力检测范围内,并且在该范围的特定区间内设定特定的分辨率。从而,可以借助按照所要求的精度的压力数据进行压力控制。
优选地,以如下方式设定压力数据获取范围,即,在各压力检测机构的压力检测范围重迭的范围内,从各压力检测机构的压力数据中选择压力检测精度高的压力检测机构的压力数据。
优选地,以在包含设定压力值的特定的压力范围内压力数据的数据密度增加的方式设定分辨率。
优选地,以随着从大气压接近设定压力值压力数据的数据密度增加的方式设定分辨率。
优选地,以数据密度发生变化的压力数据的数据值跟踪设定压力数据的数据值的方式控制压力调整机构。
附图的简单说明
图1是表示可应用本发明的蚀刻装置的简略剖视图。
图2是用于说明图1所示的蚀刻装置的压力控制结构的简略说明图。
实施发明的最佳形式
下面参照附图详细说明优选地适合于等离子体蚀刻装置的压力控制方法的实施本发明的压力控制方法的一种实施形式。
(1)蚀刻装置的结构
首先参照图1说明可应用本发明的蚀刻装置100的结构。处理室102形成于导电性的气密处理容器104内。处理容器104保护接地。此外,在处理室102内对向地配置上部电极106和下部电极108。下部电极108兼作被处理体、例如半导体晶片(下面称之为“晶片”)W的载置台。此外,在下部电极108的周围设置扩散板110。并且在下部电极108上,中间经由耦合器114连接有高频电源112,向所述下部电极上外加例如13.56MHz的偏压用高频电压。
在本实施形式中,在工艺程序空载时,停止高频电源112的输出以期节省能量。即,一般所用的高频电源(线性放大器),在无信号时流过闲散电流,如果是晶体管的话会产生集电极损耗,如果是FET(场效应晶体管)的话,会造成漏极损耗。在本实施形式中为减少这一损耗,在工艺程序空载时,切断偏压用高频电源,以期节省能量。
作为其方法,例如可以考虑在偏压用直流电源的交流电功率供应线设置通或断的继电器的方法,或者设置接通或切断偏压用交流电源的驱动信号的回路的方法。此外,作为切断偏压电源的期间,例如可以是从加工过程结束(切断高频电源112经过规定的期间之后)之后直到下一个未处理的晶片被运入处理室102内的期间,或者是从加工过程结束之后直到下一个加工过程开始(在接通高频电源112的规定期间之前)的期间。
此外,在上部电极106上中间经由耦合器118连接有高频电源116,向其上外加例如60MHz的等离子体生成用高频功率。此外,在上部电极106上形成多个气体排出口106a。利用这种结构,经由流量调整阀122,开关阀124,气体排出口106a导入从气体供应源120供应的处理气体,例如,碳氟化合物气体。此外,处理室102内的气体利用真空泵126经由扩散板110,排气通路128,压力调整阀(压力调整机构)130排出。
此外,在处理室102内、中间经由各开关阀136,138,压力检测孔140,142连接到各自的压力检测范围不同的多个压力检测机构,例如,第一及第二压力传感器132,134。第一及第二压力传感器132,134例如由电容压力计构成。此外,例如第一压力传感器132检测特定压力范围,例如0Pa~25Pa的压力,根据所检测出的压力将0V~10V的电压作为压力数据输出。此外,第二压力传感器134检测比第一压力传感器132更宽的压力范围,例如检测0Pa~1000Pa的压力,根据所检测出的压力将0V~10V的电压作为压力数据输出。
此外,将压力控制器144连接到第一及第二压力传感器132,134上。在上述压力控制器144上连接有上述压力调整阀130。并且,压力控制器144根据第一及第二压力传感器132,134的压力数据和基于设定压力的设定压力数据控制压力调整阀130,把处理室102的内部设定成规定的压力。下面,详细描述压力控制器144的压力控制。压力控制器144配备有将从第一及第二压力传感器132,134输出的作为模拟数据的电压变换成数字数据的图中未示出的A/D(模拟/数字)变换器等用于压力控制的各种装置。
(2)压力控制方法
下面将作为本发明核心的处理室102内的压力控制方法分成设定工序(a)及控制工序(b)进行说明。
(a)设定工序
在设定工序(a)中,在压力控制器144中设定压力数据获取范围,压力数据选择值,分辨率,分辨率适用范围,分辨率选择值,控制用压力值。
(a-1)压力数据获取范围及压力数据选择值的设定
压力数据获取范围是决定将第一压力传感器132或第二压力传感器134的压力数据中的哪一个用于压力控制的范围。压力数据选择值是基于压力数据获取范围进行第一压力传感器132或第二压力传感器134的压力数据选择的值(阈值)
如上所述,第一传感器132可以检测0Pa~25Pa的压力。第二传感器134可以检测0Pa~1000Pa的压力。其中,第一压力传感器132比第二传感器134的压力检测精度高。因此,在第一压力传感器132可以检测的范围内,优选地采用第一压力传感器132的压力数据。从而,第一压力传感器132的压力数据获取范围设定为0Pa~25Pa。第二压力传感器134的压力数据获取范围设定为25Pa~1000Pa。
此外,压力数据选择值,为了从第一及第二压力传感器132,134的各压力数据获取范围获得规定的压力数据,设定为对应于25Pa的电压值。即,压力数据选择值对应于上述压力,在第一压力传感器132中设定为10V,在第二压力传感器134中设定为0.25V。从而,在压力减少时,当从第二压力传感器134输入基于0.25V的电压值的压力数据时,压力控制器144切换成基于第一压力传感器132的压力数据的控制。同时,当压力上升时,当从第一压力传感器132输入基于10V的电压值的压力数据时,压力控制器144切换成基于第二压力传感器134的压力数据的控制。采用这种方法,可以根据处理室102内的压力从第一及第二压力传感器132,134的各压力数据中选择最佳的压力数据。从而。可以确保和基于一个压力传感器所得到的压力数据进行控制时几乎相同的控制性能。
(a-2)分辨率,分辨率应用范围,分辨率选择值的设定
分辨率分解第一压力传感器132或第二压力传感器134的压力数据,使数据密度变化,虚拟地改变第一压力传感器132或第二压力传感器134的压力检测精度。分辨率应用范围是决定在第一及第二压力传感器132,134的各压力数据获取范围内应用各分辨率中的哪一个的范围。分辨率选择值是根据分辨率应用范围进行应用的分辨率的选择的值(阈值)。
在本实施形式中,通过后面所述的连续处理,蚀刻形成于氧化膜(SiO2膜)上的多晶硅膜及形成于多晶硅膜上的硅化钨膜,形成门电极。硅化钨膜优选地在低压力下,例如在0.4Pa进行处理。多晶硅膜优选地在高于硅化钨的处理压力、例如在15Pa的压力下进行处理。因此,在上述各处理压力下,有必要进行正确的压力控制。进而,由于硅化钨膜的处理在低压力下进行,所以需要更加严格的压力控制。
首先分解第一压力传感器132的压力数据的分辨率,例如设定为两级不同的分辨率。即,在包含硅化钨膜的处理压力0Pa~10Pa的范围内,设定成以最细的精度,例如以0.01Pa单位的精度获得压力数据。此外,在含有多晶硅膜的处理压力的10Pa~25Pa的范围内,例如设定成以0.025Pa单位的精度获得压力数据。从而,第一压力传感器132的分辨率应用范围变成0Pa~10Pa和10Pa~25Pa。
此外,在0Pa~10Pa的范围内,从第一压力传感器132输出4V以下的电压。因此,将从第一压力传感器132输出的对应于10Pa的电压4V例如分割成1000份。如果借助这种分辨率设定,获得由4mV单位的电压所构成的压力数据的话,可以进行0.01Pa单位的压力控制。此外,在10Pa~25Pa的范围内,从第一压力传感器132输出4V~10V的电压。因此,把从第一压力传感器132输出的例如对应于25Pa的电压10V分割成1000份。如果借助这样设定的分辨率获得由10mV单位的电压构成的压力数据的话,可以进行0.025Pa单位的压力控制。
此外对于第一压力传感器132的压力数据的分辨率选择值,为了根据上述各分辨率应用范围应用上述各分辨率,设定为对应于25Pa的电压值4V。借助这种设定,压力控制器114以第一压力传感器132的输出电压4V为界选择上述各分辨率。
另一方面,分解第二压力传感器134的压力数据的分辨率与第一压力传感器一样,例如,设定为二级不同的分辨率。即,在25Pa~100Pa的范围内,例如设定成以0.1Pa单位的精度获得压力数据。此外,在100Pa~1000Pa的范围内,例如设定为以1Pa单位的精度获得压力数据。从而,第二压力传感器134的分辨率应用范围为25Pa~100Pa和100Pa~1000Pa。
此外,在25Pa~100Pa的范围内,从第二压力传感器134输出1V以下的电压。因此,将从第二压力传感器134输出的对应于100Pa的电压1V例如分割成1000份。通过这样设定分辨率,如果获得由1mV单位的电压构成的压力数据的话,可以进行0.1Pa单位的压力控制。此外,在100Pa~1000Pa的范围内,从第二压力传感器134输出1V~10V的电压。从而,将从第二压力传感器134输出的对应于1000Pa的电压10V分割成1000份。通过这种分辨率设定获得10mV单位的电压构成的压力数据的话,可以进行1Pa单位的压力控制。
此外,对于第二压力传感器134的压力数据的分辨率选择值,为了基于上述各分辨率应用范围应用上述各分辨率,设定为对应于100Pa的电压值1V。根据这种设定,压力控制器114以第二压力传感器的输出电压1V为界选择上述各分辨率。根据这种方法,随着接近进行处理的压力,可以提高压力数据的精度。从而,在处理时可以进行更加严格的压力控制,可以在处理时间之外的期间迅速地进行压力控制。
(a-3)控制用压力值的设定
控制用压力值是基于设定在压力控制器114上的Pa单位的压力值计算出来的进行压力控制用的值。压力控制器144,如上所述,最大可以获得0.01Pa单位的压力数据。此外,压力的检测范围,最大为0Pa~1000Pa。因此,将1000Pa分割成100000份,以对应于以0.01Pa的方式设定控制用压力值。从而,当压力控制器144例如输入0.3Pa的设定压力值时,计算出以30作为控制压力值,根据该值30进行压力控制。根据这种方法,在第一及第二压力传感器132,134的整个压力检测范围内,可以很容易地进行0.01Pa单位的压力设定。
(b)控制工序
下面,参照图1和图2说明蚀刻处理时的压力控制工序。首先,在进行处理前,预先进行第一及第二压力传感器132,134的偏移调整(调零)。同时,在压力控制器114上设定处理多晶硅膜的0.4Pa压力值和处理硅化钨膜的15Pa压力值。压力控制器144将各设定压力值换算成上述控制用压力值,用于进行控制。此外,在完成各种设定后,把上述晶片W载置于下部电极108上。然后,把从气体供应源供应的处理气体导入到处理室102内。同时,利用真空泵126排出处理室102内的气体。通过这种排气,如图2所示,降低处理室102内的压力。
在处理室102内的压力为100Pa~1000Pa的情况下,从第一压力传感器132把10V电压输入到控制器144中,并且根据压力,从第二压力传感器134把1V~10V的电压输入到控制器144中。压力控制器144判断从第二压力传感器134输入的电压值大于对应于25Pa的压力数据选择值0.25V时,采用第二压力传感器134的压力数据。进而,压力控制器144判断从第二压力传感器134输入的电压值大于对应于100Pa的分辨率选择值1V时,以能得到1Pa单位压力值的分辨率分解第二压力传感器134的压力数据。从而,压力控制器144根据1Pa单位的压力数据控制压力调整阀130。
此外,在处理室102内的压力降低到25Pa~100Pa的情况下,从第二压力传感器将0.25V~1V的电压输入到压力控制器144。压力控制器144判断从第二压力传感器134输入的电压值为分辨率选择值1V以下,则切换分辨率,基于0.1Pa单位的压力数据控制压力调整阀130。
进而,在处理室102内的压力低于25Pa时,从第一压力传感器132将低于10V的电压输入到压力控制器144,并且从第二压力传感器134将低于0.25V的电压输入到压力控制器144中。压力控制器144判断从第二压力传感器134输入的电压值小于压力数据选择值0.25V时,则采用第一压力传感器132的压力数据。进而,压力传感器144判断从第一压力传感器132输入的电压值大于对应于10Pa的分辨率选择值4V时,则用能获得0.025Pa单位的压力值的分辨率将第一压力传感器132的压力数据分解。从而,压力控制器144基于0.025Pa单位的压力数据控制压力调整阀130。
当处理室102内的压力达到蚀刻硅化钨膜的15Pa时,压力控制器144以维持该压力的方式控制压力调整阀130。在维持这种压力之后,在上部电极106上外加上面所述的60MHz的高频功率,将处理气体等离子体化。并且,在下部电极108上外加上述13.56MHz的高频功率,把等离子体引入晶片W。采用这种结构蚀刻硅化钨,形成门电极。
当对上述硅化钨膜进行规定的蚀刻处理后,接着对多晶硅膜进行蚀刻处理。在即将露出多晶硅膜之前,压力控制器144控制压力调整阀130将处理室102内的压力一直降低到处理多晶硅膜的0.4Pa。在处理室102内的压力降低到10Pa以下时,从第一传感器132将4V以下的电压输入到压力控制器144中。压力控制器144判断从第一压力传感器132输入的电压值在分辨率选择值4V以下,则切换分辨率,基于0.01Pa单位的压力数据控制调整阀130。进而,当处理室102内当压力达到0.4Pa时,压力控制器144以维持该压力的方式控制压力调整阀130。借助这种压力控制,在多晶硅膜上也形成规定形状的门电极。此外,在进行多晶硅膜的蚀刻时,也可以适当改变外加上部电极106及下部电极108上的高频功率的频率以及导入到处理室102内的处理气体。
上面参照附图对本发明的优选实施形式进行了说明,但本发明并并不限于上述结构。在权利要求书范围所述的技术思想范畴内,从事本领域的技术人员可以想象得到各种变更例及修改例,应当理解,这些变更例和修改例也属于本发明的技术范围。
例如,在上述实施例中,列举了利用两个压力传感器检测处理室内压力的结构的例子进行了说明,但本发明并不限于这种结构。本发明也适用于用一个或三个以上的压力检测机构检测压力、进行控制的场合。
此外,在上述实施形式中,列举了用两个不同的分辨率分解第一及第二压力传感器的压力数据的结构的例子进行了说明,但本发明并不限于此。本发明也适用于根据设定压力利用三个以上的分辨率进行分解的情况。
此外,在上述实施形式中,以进行平行平板型等离子体蚀刻装置的处理室内的压力控制的结构为例进行了说明,但本发明并不局限于这种结构。本发明除平行平板型等离子加工装置之外,还适用于电感耦合型及微波型各种等离子加工装置的处理室内的压力控制。
根据本发明,尽管利用多个压力检测机构检测处理室内的压力,还是可以迅速地选择各压力检测机构的压力数据,用于压力控制。因此,尽管用多个压力检测机构检测压力,也可以确保和基于一个压力检测机构的压力数据的控制相同的控制性能。此外,根据本发明,可以虚拟地提高压力检测机构的压力检测精度。从而,可以正确地进行处理室内的压力控制。此外,根据本发明,可以借助软件处理求出用于压力控制的压力数据。因此,即使处理室内的压力有很大的变化时,也可以几乎与该压力变化同时检测出处理室内的压力。从而可以跟踪压力变化进行压力控制。
工业上的可利用性
本发明在半导体装置的制造工序中可应用于需要进行压力控制的处理过程中,特别是可应用于需要对处理室内的压力进行严格的调整、必须维持规定的压力对被处理体进行超微细化处理的过程中。

Claims (12)

1.一种压力控制方法,根据检测处理室内压力的压力检测机构的压力数据,控制调整前述处理室内压力的压力调整机构的压力控制机构,其特征为,所述压力控制方法包括以下工序:
对于压力检测范围不同的多个前述压力检测机构中的每一个,设定获得前述压力数据的压力数据获取范围的工序;
基于前述各个压力数据获取范围,设定从前述各压力检测机构的前述压力数据中选择出用于压力控制的压力数据的压力数据选择值的工序;
将前述各压力检测机构的压力数据与前述压力数据选择值进行比较选择用于压力控制的压力数据的工序;
根据前述所选择的压力数据和基于设定压力值的设定压力数据,控制前述压力调整机构的工序。
2.如权利要求1所述的压力控制方法,其特征为,在前述各压力检测机构的前述压力检测范围重迭的范围内,以从前述各压力检测机构的前述压力数据中选择压力检测精度高的前述压力检测机构的前述压力数据的方式设定前述压力数据获取范围。
3.如权利要求1所述的压力控制方法,其特征为,前述压力调整机构以前述被选择的前述压力数据的数据值跟随前述设定压力数据的数据值的方式进行控制。
4.一种压力控制方法,基于检测处理室内压力的压力检测机构的压力数据控制调整前述处理室内压力的压力调整机构的压力控制机构,其特征为,所述压力控制方法包括以下工序:
对应于前述压力检测机构的压力检测范围,对将前述压力数据分解成多个虚拟地使前述压力数据的数据密度变化的两个以上的分辨率的各分辨率适用范围进行设定的工序;
基于前述各分辨率的适用范围,设定从前述各分辨率中选择出一个分辨率的分辨率选择值的工序;
比较前述压力数据与前述分辨率选择值,从前述各分辨率中选择出一个分辨率的工序;
基于前述选择的分辨率,虚拟地使前述压力数据的数据密度变化的工序;
根据使前述数据密度变化的压力数据以及基于设定压力值的设定压力数据控制前述压力调整机构的工序。
5.如权利要求4所述的压力控制方法,其特征为,在包含前述设定压力值的规定压力范围内以前述压力数据的数据密度增加的方式设定前述分辨率。
6.如权利要求4所述的压力控制方法,其特征为,以随着从大气压接近前述设定压力,前述压力数据的数据密度增加的方式设定前述分辨率。
7.如权利要求4所述的压力控制方法,其特征为,以前述数据密度发生变化的压力数据的数据值跟随前述设定压力数据的数据值的方式控制前述压力调整机构。
8.一种压力控制方法,基于检测处理室内压力的压力检测机构的压力数据控制调整前述处理室内压力的压力调整机构的压力控制机构,其特征为,所述压力控制方法包括以下工序:
对于前述压力检测范围不同的多个压力检测机构中的每一个,设定获得前述压力数据的压力数据获取范围的工序;
基于前述各压力数据获取范围,设定从前述各压力检测机构的前述压力数据中选择用于压力控制的压力数据的压力数据选择值的工序;
在前述各个压力数据获取范围内的每一个中,对把前述压力数据分解为多个使前述压力数据的数据密度虚拟地变化的两个以上的分辨率的各分辨率适用范围进行设定的工序;
基于前述各分辨率的适用范围,设定从对应于前述所选择的压力数据的前述各分辨率中选择一个分辨率的分辨率选择值的工序;
比较前述各压力检测机构的压力数据与前述压力数据选择值,选择用于压力控制的压力数据的工序;
比较前述所选择的压力数据与前述分辨率选择值,从各分辨率中选择一个分辨率的工序;
基于前述所选择的分辨率,使前述所选择的压力数据的数据密度虚拟地变化的工序;
根据使前述数据密度变化的压力数据及基于设定压力值的设定压力数据,控制前述压力调整机构的工序。
9.如权利要求8所述的压力控制方法,其特征为,以在前述各压力检测机构的前述压力检测范围重迭的范围内,从前述各压力检测机构的压力数据中选择压力检测精度高的前述压力检测机构的压力数据的方式设定前述压力数据获取范围。
10.如权利要求8所述的压力控制方法,其特征为,在包含前述设定压力值的规定压力范围内,以前述压力数据的数据密度增加的方式设定前述分辨率。
11.如权利要求8所述的压力控制方法,其特征为,以随着从大气压接近前述设定压力值,前述压力数据的数据密度增加的方式设定前述分辨率。
12.如权利要求8所述的压力控制方法,其特征为,以前述数据密度发生变化的压力数据的数据值跟随前述设定压力数据的数据值的方式控制前述压力调整机构。
CNB008187673A 1999-12-14 2000-12-14 压力控制方法及等离子加工装置 Expired - Lifetime CN100388433C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP354372/99 1999-12-14
JP354372/1999 1999-12-14
JP35437299A JP4695238B2 (ja) 1999-12-14 1999-12-14 圧力制御方法

Publications (2)

Publication Number Publication Date
CN1433566A true CN1433566A (zh) 2003-07-30
CN100388433C CN100388433C (zh) 2008-05-14

Family

ID=18437120

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008187673A Expired - Lifetime CN100388433C (zh) 1999-12-14 2000-12-14 压力控制方法及等离子加工装置

Country Status (5)

Country Link
US (2) US6908864B2 (zh)
JP (1) JP4695238B2 (zh)
CN (1) CN100388433C (zh)
TW (1) TWM249205U (zh)
WO (1) WO2001045150A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100355019C (zh) * 2004-10-21 2007-12-12 东京毅力科创株式会社 基板处理装置和基板处理装置的压力控制方法
CN102654241A (zh) * 2011-03-03 2012-09-05 东京毅力科创株式会社 气体减压供给装置、具有该气体减压供给装置的气瓶柜、阀箱以及基板处理装置
CN104503497A (zh) * 2014-11-21 2015-04-08 京东方科技集团股份有限公司 用于刻蚀设备的压力保护系统和压力保护方法
CN105580103A (zh) * 2013-09-24 2016-05-11 应用材料公司 用于控制气体供应的方法和控制器

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430496B2 (en) * 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
KR100697280B1 (ko) * 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
JP4668027B2 (ja) * 2005-10-17 2011-04-13 シーケーディ株式会社 薬液供給システム
JP4145925B2 (ja) * 2006-01-31 2008-09-03 シャープ株式会社 プラズマエッチング方法
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
GB2462309B (en) * 2008-08-01 2012-05-30 Cary Ratner Pressure gauge
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101919888B1 (ko) * 2012-06-11 2018-11-19 엘지전자 주식회사 약진공 알고리즘에 의한 냉장고 야채실 신선보관 구조 및 이를 이용한 신선보관방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
JP5743113B2 (ja) * 2013-08-28 2015-07-01 三菱自動車工業株式会社 燃料タンクシステム
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7450494B2 (ja) * 2020-08-18 2024-03-15 東京エレクトロン株式会社 基板処理装置および基板処理装置のガス切り替え方法
CN113515095A (zh) * 2021-04-16 2021-10-19 北京北方华创微电子装备有限公司 多个工艺腔室压力的控制方法及半导体工艺设备

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58109811A (ja) * 1981-12-24 1983-06-30 Toshiba Corp 圧力伝送装置
JP2686996B2 (ja) * 1988-11-30 1997-12-08 東京エレクトロン株式会社 真空処理装置
JPH05102088A (ja) * 1991-10-09 1993-04-23 Nec Yamaguchi Ltd 半導体装置の製造装置
JP2826409B2 (ja) * 1992-03-19 1998-11-18 山口日本電気株式会社 ドライエッチング装置
CH688210A5 (de) * 1993-12-15 1997-06-13 Balzers Hochvakuum Druckmessverfahren und Druckmessanordnung zu dessen Ausfuehrung
JP2892980B2 (ja) * 1995-12-18 1999-05-17 株式会社日立製作所 ドライプロセス処理方法
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6635580B1 (en) * 1999-04-01 2003-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Apparatus and method for controlling wafer temperature in a plasma etcher
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100355019C (zh) * 2004-10-21 2007-12-12 东京毅力科创株式会社 基板处理装置和基板处理装置的压力控制方法
CN102654241A (zh) * 2011-03-03 2012-09-05 东京毅力科创株式会社 气体减压供给装置、具有该气体减压供给装置的气瓶柜、阀箱以及基板处理装置
CN102654241B (zh) * 2011-03-03 2015-09-16 东京毅力科创株式会社 气体减压供给装置、具有该气体减压供给装置的气瓶柜、阀箱以及基板处理装置
CN105580103A (zh) * 2013-09-24 2016-05-11 应用材料公司 用于控制气体供应的方法和控制器
CN105580103B (zh) * 2013-09-24 2018-05-11 应用材料公司 用于对工艺腔室的控制气体供应的方法、用于控制对工艺腔室的气体供应的控制器以及设备
CN104503497A (zh) * 2014-11-21 2015-04-08 京东方科技集团股份有限公司 用于刻蚀设备的压力保护系统和压力保护方法
CN104503497B (zh) * 2014-11-21 2017-12-05 京东方科技集团股份有限公司 用于刻蚀设备的压力保护系统和压力保护方法

Also Published As

Publication number Publication date
US20050176258A1 (en) 2005-08-11
JP4695238B2 (ja) 2011-06-08
WO2001045150A1 (fr) 2001-06-21
US20020182878A1 (en) 2002-12-05
TWM249205U (en) 2004-11-01
US7553773B2 (en) 2009-06-30
JP2001176847A (ja) 2001-06-29
US6908864B2 (en) 2005-06-21
CN100388433C (zh) 2008-05-14

Similar Documents

Publication Publication Date Title
CN1433566A (zh) 压力控制方法
KR101591128B1 (ko) 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치
US20110108524A1 (en) Local plasma confinement and pressure control arrangement and methods thereof
US20100203736A1 (en) Plasma Processing Method
CN100351988C (zh) 控制等离子体激励功率的等离子体处理方法和装置
KR101533476B1 (ko) 플라즈마 프로세싱 시스템 내의 면적비를 변경하는 방법 및 장치
US20040140054A1 (en) Variable aspect ratio plasma source
US20160372347A1 (en) Substrate processing apparatus
JP3411814B2 (ja) プラズマ処理装置
CN1276479C (zh) Si蚀刻方法及蚀刻装置
US20220293452A1 (en) Lift pin mechanism
CN101267708B (zh) 等离子处理装置及等离子处理方法
US9653316B2 (en) Plasma processing method and plasma processing apparatus
US7217665B2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
JP5618836B2 (ja) ギャップ調整可能なプラズマ室内におけるウエハエリア圧力制御のための方法及び装置
KR100305134B1 (ko) 에칭방법
KR101900136B1 (ko) 플라즈마 에칭 방법
CN1815689A (zh) 等离子加工设备
KR20220000909A (ko) 플라즈마 처리 장치
JPH01134082A (ja) イオンポンプの排気速度制御方法
US20230178338A1 (en) Plasma processing apparatus
KR20100113326A (ko) 플라즈마 처리장비의 배기유닛 및 그를 이용한 배기방법
KR102118405B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN117652010A (zh) 用于将膜模量保持在预定模量范围内的方法、设备和系统
CN1774796A (zh) 时分复用(tdm)蚀刻工艺中的过程控制方法及设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080514

CX01 Expiry of patent term