CN1366334A - 在半导体衬底上化学汽相淀积钨的方法 - Google Patents

在半导体衬底上化学汽相淀积钨的方法 Download PDF

Info

Publication number
CN1366334A
CN1366334A CN01144080A CN01144080A CN1366334A CN 1366334 A CN1366334 A CN 1366334A CN 01144080 A CN01144080 A CN 01144080A CN 01144080 A CN01144080 A CN 01144080A CN 1366334 A CN1366334 A CN 1366334A
Authority
CN
China
Prior art keywords
tungsten
flow
sih
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN01144080A
Other languages
English (en)
Inventor
汉斯·维尔卡门
约里斯·巴伊勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alcatel CIT SA
Alcatel Lucent SAS
Alcatel Lucent NV
Original Assignee
Alcatel NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel NV filed Critical Alcatel NV
Publication of CN1366334A publication Critical patent/CN1366334A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种在半导体衬底(1)上化学汽相淀积钨的方法,包括下列步骤:把上述衬底定位在淀积室(2)中;加热上述衬底(1),以及通把使衬底(1)与流经淀积室(2)的气体混合物接触,在低压下把钨淀积在衬底(1)上,上述气体混合物包括六氟化钨(WF6)、氢气(H2)和至少一种载气,其特征在于:上述气体混合物还包括硅烷(SiH4),且WF6/SiH4的流量比为2.5~6,WF6的流量为30~60sccm,且淀积室内的压力保持在0.13~5.33kPa(1~40乇)。

Description

在半导体衬底上化学汽相淀积钨的方法
技术领域
本发明涉及在半导体衬底上化学汽相淀积钨(W)层的方法。
背景技术
在半导体衬底,如其上已形成集成电路结构部分诸如一个或多个晶体管的氧化硅晶片,上化学汽相淀积钨是许多半导体制造工艺的一个完整部分。
在该衬底上通常已形成绝缘层(多数为氧化硅层),且对该绝缘层预先构图以提供位于集成电路结构之下的开孔和通路。
化学汽相淀积的钨被用作填充接触孔或通路孔的导电材料。用钨层覆盖整个衬底表面,然后蚀刻和抛光,留下孔。
由于不能用化学汽相淀积在氧化硅层上直接淀积钨层,淀积一与绝缘层和钨都有良好结合的中间层,如在钛上淀积氮化钛(TiN)层。
通常通过在两步骤工艺中还原六氟化钨(WF6)来淀积钨。这些步骤的压力设定值和所用的还原剂不同,第一步主要用硅烷(SiH4),然后只用氢(H2)。膜的最大部分用H2还原来淀积。
美国加州圣克拉拉(santa clara)的Applied Materials公司的专利US-U-5,028,565公开了这样的方法,其中在压力保持在2.67~101.32kPa(约20~760乇)的真空室中,在加热到约350℃到525℃的晶片上淀积钨。采用WF6气体和不活泼载气如氩、氮和氢的组合。WF6的流量为每分钟约20~200cm3(以下简写成“sccm”)。不活泼载气Ar的流量为约100~5000sccm,氮的流量为约10~300sccm,氢的流量为约300~3000sccm。
已经发现混合气体中的N2增加淀积层的反射率,有利于后续构图步骤中的光刻,降低表面粗糙度。
但是,US-U-5,028,565还披露,尤其当中间层是氮化钛时,先形成形核层是非常重要的,此时WF6的流量为约5~50sccm,硅烷(SiH4)的流量为约5~50sccm,Ar的流量为约500~3000sccm,N2的流量约为20~300sccm。
已经发现若没有形核层,钨层的厚度和电阻不均匀。
文献一致认为如果没有这两步,就不可能获得具有良好质量,尤其是良好的阶梯覆盖比、良好的层均匀性和低的通路电阻的钨膜。阶梯覆盖比(step coverage)是指在沟道或接触孔的一半深度处侧壁上的钨膜厚度和钨膜名义厚度或上面的层厚度的比值。
例如,EUI SONG KIM等在他们的发表在《材料科学与工程》,B17(1993),第137-142页的文章“W在TiN衬底上的化学汽相淀积”中提到,由于不容易在TiN上用H2还原WF6以使W成核,现在都是首先用SiH4还原开始W的形核,然后用H2还原使W膜长到想要的厚度。
Carol M.McConica等在他们的发表于1988年6月13-14日的V-Mic大会论文集第268~276页,“Session VII:VSSIMultilevel Interconnection Dielectric Systems”中的文章“Stepcoverage prediction during blanket LPCVD tungsten depositionfrom hydrogen,silane and tungsten hexafluoride”中也提到,与只用H2还原相比,用SiH4或SiH4和H2的混合物还原有许多优点,生长速度对温度的依赖性小,膜厚更均匀,生长速度更快,但是与氢还原相比,SiH4的主要缺点是阶梯覆盖比受限制。
A.Hasper等人在其文章“W-LPCVD step coverage andmodeling in trenches and contact holes”(发表在Proceedings ofthe workshop on tungsten and other refractory metals forVLSI/USLI applications V,127(1990),S.S.Wong和S.Furukawa编,Materials Research Society,Pitts burg,PA,USA)中已提到,用SiH4还原具有许多优点,如生长速度与温度无关,生长速度快,晶粒尺寸小,与硅的反应少等,但向WF6/H2混合物加入SiH4时,阶梯覆盖比下降。
总而言之,氢还原的阶梯覆盖比比硅烷还原好,但氢还原法的淀积速度很低。因此,上面提到的Applied Materials公司推荐的实际方法中,在淀积钨的第二步中没有SiH4
该方法包括:用SiH4浸泡以使底层饱和并钝化的步骤;在4.00kPa(30乇)的压力下成核的步骤,其中用1000sccm的H2和SiH4以流量比为WF6/SiH4=2还原30sccm的WF6,以及在第二压力12.00kPa(90乇)下的大体积淀积步骤,其中只用700sccm的H2还原30sccm的WF6。在淀积钨时晶片被加热到475℃。由于在两步之间有压力差必须在它们之间有额外的加压步骤。
在美国圣琼斯的Novellus Systems公司的US-U-5,795,824中披露了类似的方法,但是两步的压力相同。提供15~75sccm SiH4和1000sccm Ar的开始步骤之后,在5.33~10.67kPa(40~80乇)的压力下以连续的两个淀积步骤淀积钨、提供1000~15000sccmH2、50~800sccm WF6和15~75sccm SiH4的形核步骤;和提供WF6、H2和Ar气的在不同位置的大体积淀积,可以以连续层形成直到最终的钨厚度。
上述的所有用WF6两步还原的已知方法都是相当复杂、且比较慢,同时需要比较复杂的淀积系统。
本发明的一个目的在于提供一种化学汽相淀积钨的方法,与上述的现有技术的方法相比,更简单,更廉价,淀积速度更快,同时使用更简单的淀积系统,由此,钨膜的特性如阶梯覆盖比、通孔电阻、反射率等至少等于或比现有技术的方法得到的膜更好。
发明概述
根据本发明,用下面的方法可以实现上述目的,即,该方法是在半导体衬底上化学汽相淀积钨的方法,包括下列步骤:把上述衬底定位在淀积室中;加热上述衬底,以及通把使衬底与流经淀积室的气体混合物接触,在低压下把钨淀积在衬底上,上述气体混合物包括六氟化钨(WF6)、氢气(H2)和至少一种载气,其特征在于:上述气体混合物还包括硅烷(SiH4),且WF6/SiH4的流量比为2.5~6,WF6的流量为30~60sccm,且淀积室内的压力保持在0.13~5.33kPa(1~40乇)。
令人惊喜的是,通过在指示的压力窗内调整WF6/SiH4的流量,可以得到100%的阶梯覆盖比。
因此,可以在一步内进行钨淀积。
由此,反应效率提高,导致淀积速度提高,气体成本下降。与现有技术中的两步骤相比,产生的有毒气体少,而且钨膜的整体质量提高。
在钨淀积过程中,优选地,以500~2000sccm的流量供给氢。
衬底被加热的温度取决于反应室,但优选地设为400~495℃,也可以延伸到更低的温度,但这样会导致淀积速度降低。
载气可以象在现有技术的方法中那样,采用Ar和H2
下面,结合实施例并参考附图描述本发明。
附图简述
图1示意地示出使用根据本发明的方法的淀积系统;
图2是展示本发明的方法的各步骤的框图;
图3展示了当改变SiH4和WF6的流量时的厚度D和阶梯覆盖比SC的试验结果;
图4展示了WF6/SiH4的比值对淀积的W层的阶梯覆盖比的影响的试验结果;
图5是从图3得出的淀积速度的试验结果,其是WF6和SiH4流量的函数。
实施发明的具体方式
根据本发明的在衬底,更具体地,在已经覆盖有绝缘的氧化硅层和TiN中间层的由半导体材料如硅构成的晶片1上淀积钨(W)膜的工艺,在安装在淀积系统中的市场上可买到的化学汽相淀积室2中进行。
图1示意地展示了可用来使用本发明的典型的现有单室型化学汽相淀积系统。
在该系统中,淀积室2具有通过压力控制器件5与真空泵相连的真空端口3。
该系统包含多个供应管线6-10,它们与特定的源(未示出)相连以分别供应六氟化钨(WF6)、还原气体氢气(H2)、还原气体硅烷(SiH4)、不活泼载气氩气(Ar)和载气氮气(N2)。用流量控制器11可控制流过这些供应管线6-10中的气体流量。
为了避免WF6在室2外面被还原,分别用于WF6和载气体N2的供应管线6和7与歧管12相连,而分别用于SiH4、H2和Ar的供应管线8、9、10与第二歧管13相连。歧管12和13通过公共气管线15供应到室2内的分配头14。在淀积室2内,安装具有底面和直立边缘的托板16。在底面和边缘之间有多个沟道17横切托板16,沟道17与用于所谓的边缘气体,更具体地,指被控制了流量的H2和Ar的混合物,的供应管线18相连,确保在晶片1的边缘处形成与中心同样厚度的钨膜。在托板16中安装加热装置19,如热阻器,以用来加热晶片1。
再看图2,钨的淀积如下进行:
在步骤21中,通过真空端口3把室2抽到预定的底压力,例如,低于0.003kPa(20毫乇)。在步骤22中,把晶片1置于室2内的托板16上,同时再次把室2抽到上述底压力。
然后,在步骤23中,通过管线10和7提供Ar和N2,把室2加压到由压力控制器5确定的0.13~5.33kPa(1~40乇)。
抽到低压和随后的用不活泼气体加压可确保室2中没有气氛污染物。
一旦把晶片1置于托板16上,就通过把它与已被加热装置19加热到400~495℃的托板16接触而加热到400~495℃。加热表示在图2的步骤24中,但是在步骤23中加热已经开始,而且可能已经完成。事实上,从该方法一开始加热装置19就被启动了,并一直延续到最终晶片1被钨膜覆盖。
在一个步骤25中,通过打开供应管线6和7中的质量流量控制器11使WF6在歧管12中与N2流混合,并打开供应管线8、9和10中的流量控制器11使SiH4和H2气体在歧管13中与Ar流混合,淀积W层。
结果,Ar、N2、H2、WF6、SiH4的混合气体通过公共供应管线15和分配头14被送到室2。
质量流量控制器11确定不同气体的流量。Ar和N2的流量不是至关重要的,例如,Ar的流量可以为800~3000sccm,N2的流量可以为10~400sccm。这些流量在加压步骤23中可能比在淀积步骤25中高。WF6的流量为30~60sccm,而且WF6/SiH4的比为2.5~6。
这些限制是重要的,因为WF6的流量低于30sccm会导致阶梯覆盖比下降,而且流量大于60sccm会造成反应效率下降。
WF6/SiH4的流量比低于2.5会导致阶梯覆盖比下降,而流量比大于6会导致应力增加、反射率下降、和反应效率下降。SiH4太多会导致孔或沟中的WF6梯度,降低阶梯覆盖比。
H2的流量为500~2000sccm,它不是至关重要的。
如果象展示的那样,在步骤25中在托板16中提供沟道17,通过这些沟道17提供0~500sccm的H2边缘流和Ar流。
这些流量是持续的并保持到W膜具有所期望的厚度,在晶片1上不反应的气体用端口3抽走。
压力控制器5确保在淀积W的过程中在室2内有上述的0.13~5.33kPa的压力。这些限制是很重要的,因为若压力低于0.13kPa,在晶片1上方的空间会发生气团(gas nucleation)。
得到所期望的厚度后,在步骤26用Ar和H2气体清洗室2,其流量可能要高于淀积步骤25。之后在步骤27中室2被抽低压。
最后,在步骤28,从室2中取出晶片1。
再次用Ar和N2清洗室2,然后准备抽低压,可能在另一个晶片1上进行另一次W膜淀积,重复上述步骤。
通过采用优化的WF6/SiH4比、WF6气体流量和压力,阶梯覆盖比很好,即使是最深的沟道,淀积速度也几乎是现有方法的两倍,达到643nm/min。
用一步就完成了W的淀积。在淀积时只有一个压力,所以没有淀积之间的加压步骤。只需要一套气体设置。
WF6和SiH4的流量是很关键的,用比较昂贵的难以校准的质量流量控制器11调节。由于在钨的淀积中不需要改变流量,每种气体只需一个流量控制器就足够了。而在现有的两步骤法中,由于有低流和高流,WF6需要两个控制器,更加昂贵。
下面,用下面的实际例子进一步详述本发明。
实施例
在第一步21中,把室2抽到0.003kPa(20毫乇)的压力,然后把硅晶片1引入CVD室2并置于保持在475℃的托板16上,上述硅晶片1在氧化硅层上有预先形成的TiN层。
在下面的步骤23中,用约2800sccm Ar和约300sccm N2把室2加压到约4.00kPa(30乇)的压力。
供给流量为约1000sccm的H2、约50sccm的WF6、约15sccm的SiH4,使WF6/SiH4的比为约3.3,同时保持流量为约800sccm的Ar和约300sccm的N2,进行淀积。
通过沟道17供给约50sccm的H2边缘流,以得到更均匀的钨膜。
用2500sccm的Ar和300sccm的N2清洗室2,并抽低压到0.003kPa的压力,取出晶片1。
淀积时间为60.2秒,而标准方法为78.0秒,该标准方法为在形核时,WF6为30sccm,SiH4为15sccm,H2为1000sccm,压力为4.00kPa(30乇),而在大体积淀积时,WF6为95sccm,H2为700sccm,无SiH4。与该标准方法相比,WF6的消耗量减少了30%。应力和反射率都很好。
尽管没有发现如果使用SiH4浸泡步骤有什么额外的优点,但在步骤23和淀积步骤25之间可以用该步骤。
而且,可以在淀积步骤25之前增加一短的预形核步骤,在几秒钟例如1~7秒钟内把WF6的流量降低,使WF6/SiH4流量比降到约2,其它参数不变。与现有方法中的约20秒的形核步骤相比,该预形核步骤十分短,例如为1~7秒。
还必须指出,预形核和淀积步骤中的压力相同。这样就避免了额外的步骤,导致简单的淀积系统。
还进行了附加的试验,以研究同时改变WF6/SiH4比时的WF6和SiH4的不同流量的影响。研究了阶梯覆盖比和生长速度。在这些试验中,WF6的流量在20~60sccm之间变化,SiH4的流量在10~30sccm之间变化。压力保持在4kPa(30乇),淀积温度为475℃,H2流量为1000sccm,淀积时间为100秒。
结果示于图3~5。在图3中对应于试验结果连出细实线的层厚曲线D和细虚线的阶梯覆盖比曲线SC。结果用星号表示。WF6/SiH4比对阶梯覆盖比的影响清楚地画在图4上。从后图可以清楚地看出,比值小于2导致阶梯覆盖比很差,小于50%。比值大于3.3阶梯覆盖比就变好了。
图3中的层厚D用于计算如图5所示的淀积速度。淀积速度用埃/分(6×10-9m/秒)表示。流量最大时淀积速度最快。这与文献记载有偏差,原因可能在于试验中使用的混合化学物质H2/SiH4/WF6,而在大多数情况下只使用分离的化学物质。
尽管在上面结合实施例描述了本发明的原理,但很显然,这些描述仅是示例性的,并非对本发明的范围的限制。

Claims (5)

1.一种在半导体衬底(1)上化学汽相淀积钨的方法,包括下列步骤:
把上述衬底定位在淀积室(2)中;
加热上述衬底(1),以及
通把使衬底(1)与流经淀积室(2)的气体混合物接触,在低压下把钨淀积在衬底(1)上,上述气体混合物包括六氟化钨(WF6)、氢气(H2)和至少一种载气,
其特征在于:上述气体混合物还包括硅烷(SiH4),且WF6/SiH4的流量比为2.5~6,WF6的流量为30~60sccm,且淀积室内的压力保持在0.13~5.33kPa(1~40乇)。
2.如权利要求1所述的方法,其特征在于:钨的淀积完全在一步中进行。
3.如权利要求1或2所述的方法,其特征在于:在淀积钨时,氢气的流量为500~2000sccm。
4.如权利要求1~3中任一项所述的方法,其特征在于:衬底(2)被加热到的温度为400~495℃。
5.如权利要求1~4中任一项所述的方法,其特征在于:载气是Ar和N2
CN01144080A 2000-12-28 2001-12-28 在半导体衬底上化学汽相淀积钨的方法 Pending CN1366334A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP00403705A EP1219725B1 (en) 2000-12-28 2000-12-28 Method for tungsten chemical vapor deposition on a semiconductor substrate
EP00403705.7 2000-12-28

Publications (1)

Publication Number Publication Date
CN1366334A true CN1366334A (zh) 2002-08-28

Family

ID=8174012

Family Applications (1)

Application Number Title Priority Date Filing Date
CN01144080A Pending CN1366334A (zh) 2000-12-28 2001-12-28 在半导体衬底上化学汽相淀积钨的方法

Country Status (6)

Country Link
US (1) US6544889B2 (zh)
EP (1) EP1219725B1 (zh)
JP (1) JP2002212725A (zh)
CN (1) CN1366334A (zh)
AT (1) ATE302294T1 (zh)
DE (1) DE60022067T2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104975268A (zh) * 2015-06-03 2015-10-14 武汉新芯集成电路制造有限公司 一种金属钨薄膜的制备方法
CN107481926A (zh) * 2017-08-31 2017-12-15 长江存储科技有限责任公司 一种金属钨的填充方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6644889B2 (en) * 1998-10-21 2003-11-11 Hartman Ew, Inc. Headwall for drain pipe
US6699788B2 (en) * 2001-11-13 2004-03-02 Chartered Semiconductors Manufacturing Limited Method for integrated nucleation and bulk film deposition
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US8834830B2 (en) 2012-09-07 2014-09-16 Midwest Inorganics LLC Method for the preparation of anhydrous hydrogen halides, inorganic substances and/or inorganic hydrides by using as reactants inorganic halides and reducing agents
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR102234559B1 (ko) 2016-12-15 2021-03-31 어플라이드 머티어리얼스, 인코포레이티드 핵형성을 사용하지 않는 갭 충전 ald 프로세스
US11810766B2 (en) 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP0486927A1 (en) * 1990-11-20 1992-05-27 Air Products And Chemicals, Inc. Deposition of tungsten films from mixtures of tungsten hexafluoride, organohydrosilanes and hydrogen
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104975268A (zh) * 2015-06-03 2015-10-14 武汉新芯集成电路制造有限公司 一种金属钨薄膜的制备方法
CN107481926A (zh) * 2017-08-31 2017-12-15 长江存储科技有限责任公司 一种金属钨的填充方法

Also Published As

Publication number Publication date
DE60022067D1 (de) 2005-09-22
DE60022067T2 (de) 2006-06-01
ATE302294T1 (de) 2005-09-15
JP2002212725A (ja) 2002-07-31
US6544889B2 (en) 2003-04-08
US20020086110A1 (en) 2002-07-04
EP1219725B1 (en) 2005-08-17
EP1219725A1 (en) 2002-07-03

Similar Documents

Publication Publication Date Title
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102406467B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
EP1641958B1 (en) Methods of forming a phosphorus doped silicon dioxide layer
US20120196451A1 (en) Embedded catalyst for atomic layer deposition of silicon oxide
CN1638091A (zh) 预防双重金属镶嵌结构的金属漏电的氮化物阻障层
US8921235B2 (en) Controlled air gap formation
EP0644952B1 (en) Methods of chemical vapor deposition (cvd) of films on patterned wafer substrates
CN1714168A (zh) 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜
US20120070957A1 (en) Air gap formation
CN1366334A (zh) 在半导体衬底上化学汽相淀积钨的方法
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification
CN1782125A (zh) 形成介电膜的方法和介电膜
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
CN1595634A (zh) 具有改进的SiCOH介质的界面强度的结构及其制备方法
CN100352035C (zh) 用于高纵横比半导体器件的掺硼氮化钛层
CN1174474C (zh) 在势垒层上淀积铜的方法
US7129164B2 (en) Method for forming a multi-layer low-K dual damascene
CN1624883A (zh) 掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法
CN1617322A (zh) 在半导体装置中形成金属线的方法
JP4758938B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
US20160099167A1 (en) Air-gap structure formation with ultra low-k dielectric layer on pecvd low-k chamber
US7138337B2 (en) Methods of forming tungsten contacts by chemical vapor deposition
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
CN1236975A (zh) 互联系统及其生产方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication