CN1595634A - 具有改进的SiCOH介质的界面强度的结构及其制备方法 - Google Patents

具有改进的SiCOH介质的界面强度的结构及其制备方法 Download PDF

Info

Publication number
CN1595634A
CN1595634A CNA2004100623901A CN200410062390A CN1595634A CN 1595634 A CN1595634 A CN 1595634A CN A2004100623901 A CNA2004100623901 A CN A2004100623901A CN 200410062390 A CN200410062390 A CN 200410062390A CN 1595634 A CN1595634 A CN 1595634A
Authority
CN
China
Prior art keywords
layer
medium
insulation material
electronic device
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100623901A
Other languages
English (en)
Other versions
CN1319148C (zh
Inventor
D·C·埃德尔斯坦
A·格里尔
V·V·帕特尔
D·D·雷斯塔伊诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1595634A publication Critical patent/CN1595634A/zh
Application granted granted Critical
Publication of CN1319148C publication Critical patent/CN1319148C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种半导体器件结构及其制造方法,包括:具有顶部第一层的衬底;位于第一层顶部上的第二薄过渡层;以及位于过渡层顶部上的第三层,其中第二薄过渡层提供了该结构的第一和第三层之间的强粘附性和内聚强度。此外,半导体器件结构及其制造方法包括含有多个介质和导电层的绝缘结构,通过设置各过渡粘附层可以提高不同层之间的界面强度。此外,电子器件结构引入了多个绝缘层和导电材料层作为后段制程(“BEOL”)布线结构中层内或层间介质,其中通过薄中间过渡粘附层提高了不同两介质膜之间的界面强度。

Description

具有改进的SiCOH介质的界面强度的结构及其制备方法
技术领域
本发明总体涉及包括与其它介质或导电层的界面强度(界面附近的粘附性和内聚强度)得到提高的SiCOH(掺碳的氧化物)层的半导体电子器件结构。由于在SiCOH层与其它介质或导电层之间形成的过渡层的存在使得界面强度得到提高。在本发明中是这样形成过渡层的:在表面制备等离子体仍然存在并在反应室内处于激活的同时开始淀积特定层。此外,本发明涉及提高在包含Si或C的不同介质或导电层之间的界面强度的方法。
背景技术
近些年来连续不断地缩小ULSI电路中利用的电子器件的尺寸已导致BEOL金属化的电阻增加,同时没有相应地降低互连电容。经常互连甚至被按比例缩小到更高的纵横比以缓解电阻增加,然而导致电容增加。这种组合效应增加了ULSI电子器件中的信号延迟。为了提高未来的ULSI电路的开关性能,引入低介电常数(k)绝缘体和特别是k显著低于氧化硅的那些绝缘体以降低电容。
已考虑在ULSI器件中应用低k材料,包括:含有Si、C、O的聚合物,例如甲基硅氧烷、甲基硅倍半氧烷、以及通过旋涂技术制备的其它有机和无机聚合物或通过等离子体增强化学汽相淀积(PECVD)技术淀积的含Si、C、O和H的材料(SiCOH、SiOCH、掺碳的氧化物(CDO)、硅氧碳化物、有机硅酸盐玻璃(OSG))。在集成电路(IC)的互连结构中引入低k介质通常需要使用其它的介质材料作为扩散阻挡帽盖或蚀刻终止和化学机械抛光(CMP)硬掩模。在IC器件的复杂结构中各层之间的粘附性通常很低,导致器件的处理期间分层或由典型的芯片封装材料施加的热机械应力引起的可靠性降低。通常即使粘附性足够,淀积的低k膜使在淀积期间形成的初始界面附近的内聚强度降低,粘附性试验导致该初始层内破裂,为几到几十nm厚。不进行仔细的失效分析,来自对这种情况的粘附性试验的低失效能量会错误地归因于差的界面粘附性,而不是不合规格的界面附近低k膜的内聚强度。这对于包含Si、C、O和H(SiCOH)的掺碳的氧化物介质与其它硬掩模或如SiN、SiC(H)或SiCN(H)的扩散阻挡帽盖介质的界面强度(界面附近的粘附性和内聚强度)尤其如此。
由此非常需要提供一种包括具有在不同层之间具有良好界面强度的多个介质和导电层的绝缘结构的半导体器件及其制造方法。
如美国专利4,647,494中介绍,现已公认厚度为几十埃数量级的非晶硅(a-Si)能提高耐磨损碳覆盖层与记录带和盘中的金属磁性记录层的粘附性。也就是,在所参考的现有技术中介绍的a-Si能提高非晶的氢化碳(或类金刚石碳)和硅化物形成金属之间的粘附性。2002年6月19日申请的共同待审和共同转让的美国申请No.10/174,748介绍了半导体结构,其中使用界面非晶Si(a-Si)层提高了不同层之间的粘附性。
除了以上使用a-Si之外,通过SiCOH膜淀积期间产生的初始层会影响SiCOH互连介质与电子器件结构中使用的其它层之间的粘附性。初始层的性质取决于淀积膜使用的前体以及前体开始通入反应室和产生等离子体之间的延迟时间。此外,初始层具有与体SiCOH膜不同的性质。
如美国专利No.6,251,770中介绍,可以在含碳的有机硅酸盐层下面淀积基本上无碳的第一未掺杂或掺杂氟的初始氧化硅层,以增加反应离子蚀刻的选择性。有机硅酸盐层还包括初始渐变区,从没有碳并增加到稳定状态的碳,以提高与未掺杂的氧化硅层的粘附性。然而提高粘附性仅需要超薄层,这将不足以改变蚀刻选择性。此外,要基本上没有碳,需要用不同化学前体的单独淀积步骤。
如美国专利No.6,570,256中介绍,渐变碳层可以用在含碳的有机硅酸盐层的初始区,以提高与下面衬底的粘附性。然而这未必提供了界面附近有机硅酸盐膜的必要性质,特别是对粘附性和内聚强度而言。如果有机硅酸盐膜内需要类氧化物层,那么没有办法获得。
由此更需要提供一种半导体器件结构及一种绝缘结构的制造方法,该绝缘结构包括在SiCOH层和互连结构中的其它层之间界面强度得到提高的多个介质和导电层。同样非常需要获得这些提高的界面强度同时没有引入额外的化学前体,这些化学前体自身会淀积除需要的SiCOH低k绝缘材料之外的其它材料,并且使界面连续地渐变。同样非常希望能够在不需要额外地单独淀积其它膜层的情况下实现以上目的。
发明内容
因此本发明的一个目的是提供一种包括在不同层之间具有良好界面强度的多个介质和导电层的绝缘结构。在整个申请中使用的术语“良好界面强度”表示大于在界面的任何一侧上的两种材料的弱者的内聚强度的50%的界面强度。
本发明的另一目的是提供一种包括多个介质和导电层的绝缘结构,其中通过以下步骤提高了SiCOH和其它不同层之间的界面强度:在前一膜的表面制备等离子体仍然处于激活的同时在等离子体反应室内开始淀积新层、预定时间之后停止表面制备等离子体并为淀积新膜转换等离子体条件。通过在前一表面和新介质层之间的创造性工艺形成了过渡层,该过度层提高了前一表面和新介质层之间的粘附性。通过使用如环状硅氧烷的含氧有机硅酸盐前体,自身提高了该过渡层的内聚强度,由此过渡等离子体条件可以产生限制在界面几nm内的类似碳耗尽氧化硅的材料。
本发明的另一目的是提供一种包括多个介质和导电层的绝缘结构,其中表面制备等离子体可以是表面氧化作用、含氧等离子体、如Ar或He的惰性气体的表面清洁等离子体、或者还含有如N、H和/或F的其它元素的任何上述等离子体。
本发明的另一目的是提供一种包括与其它层的界面强度得到提高的SiCOH层的绝缘结构,其它层包括例如SiN、SiC、SiCN、SiCH、SiCNH、SiO2、原硅酸四乙酯(“TEOS”)、磷硅酸盐玻璃(“PSG”)或硼磷硅酸盐玻璃(“BPSG”)。
本发明的另一目的是提供一种电子器件结构,在后段制程(“BEOL”)布线结构中采用了绝缘和导电材料层作为级内或级间介质,其中不同介质之间的粘附性通过过渡层得到提高,过渡层通过以下步骤形成:在前一膜的表面制备等离子体依然处于激活的同时在等离子体反应室内开始淀积新层,经过预定时间之后停止表面制备等离子体并转变成淀积新膜的等离子体条件。这里BEOL布线结构也称做互连结构。
本发明的另一目的是提供一种电子器件结构,其中由四点弯曲试验测量的SiCOH层和SiCH或SiCNH层之间的界面强度至少为4J/m2,优选等于SiCOH膜自身的内聚强度(对于k=2.9的材料通常为6J/m2),校准以使测量到的硅烷工艺淀积的SiO2的内聚强度为10J/m2
本发明的另一目的是提供一种包括多个介质和导电层的绝缘半导体结构,其中通过以下步骤提高不同层之前的界面强度:在前一膜的表面制备等离子体依然处于激活的同时在等离子体反应室内开始淀积新层,经过预定时间之后停止表面制备等离子体并转变成淀积新膜的等离子体条件。
根据本发明的原理,提供一种制备BEOL互连结构的方法,该互连结构包括与其它互连层的界面强度得到提高的SiCOH层,其它互连层例如包括SiN、SiC、SiCN、SiCH、SiCNH、SiO2、TEOS、PSG或BPSG。
在一个实施例中,把具有包括构图金属区和SiCH或SiCHN介质区的表面的结构置于PECVD反应室,然后把结构暴露到Ar等离子体持续第一时间段。第一时间段之后,在Ar等离子体依然处于激活的同时把淀积SiCOH膜使用的前体引入反应室持续第二时间段。然后终止Ar流并调整为生长SiCOH膜的等离子体条件持续第三时间段。
在另一实施例中,提供一种在不同层之间具有增强的界面强度的多层结构的制备方法,该方法包括以下步骤:将具有包括构图金属区和SiCH或SiCHN介质区的至少一个表面的多层结构置于PECVD反应室;将表面暴露到Ar等离子体持续第一时间段;在Ar等离子体依然处于激活的同时将淀积SiCOH膜使用的前体引入反应室;以及终止Ar等离子体并调整为生长SiCOH膜使用的等离子体条件。
在另一实施例中,多次重复以下步骤:暴露到Ar等离子体,引入用于淀积SiCOH膜的前体,同时保持等离子体激活,以及终止并调整。
在不同的实施例中,用表面氧化作用、含氧的等离子体、He等离子体或包含Ar等离子体和含有如N、H和/或F的其它元素的以上任何等离子体代替Ar预处理等离子体。
在本发明的另一实施例中,将结构放入PECVD反应室,其中结构的表面包括构图金属区和SiCH或SiCHN介质区。然后该结构被暴露到表面清洁或制备等离子体持续一段时间。停止表面清洁等离子体并把含有用Ar、He、氧、NH3、SiH4及其混合物淀积SiCOH膜使用的前体的混合物引入反应室并激发淀积膜使用的等离子体。表面清洁等离子体可选地包括氟。第一时间段之后,停止膜前体的添加剂流并继续膜的淀积持续第二时间段。在该实施例中,第一时间段显著小于第二时间段。
界面强度提高方法可以用在CMOS或DRAM器件的后段制程(“BEOL”)结构中,以提高如SiCOH的低k介质和如SiCH或SiCHN的硬掩模或扩散阻挡介质之间的粘附性。
本发明还涉及一种电子器件结构,其在后段制程(“BEOL”)互连结构中具有多层绝缘材料作为层内或层间介质,该半导体器件结构包括具有嵌在第一绝缘材料层中的第一金属区的预处理的半导体衬底、嵌在第二绝缘材料层中的第一导体区、第二绝缘材料层和第一绝缘材料层之间的过渡层、第一导体区电接触第一金属区,第二导体区电接触第一导体区并且嵌在第三绝缘材料层中,第三绝缘材料层接触第二绝缘材料层。该电子器件结构还包括位于第二绝缘材料层和第三绝缘材料层之间的介质帽盖层,并且包括帽盖层和第二以及可选的第三绝缘层之间的过渡层。
电子器件结构还包括第二绝缘材料层和第三绝缘材料层之间的第一介质帽盖层,以及位于第三绝缘材料层顶部上并且在帽盖层和绝缘层之间含有过渡层的第二介质帽盖层。
介质帽盖材料可以选自以下:氧化硅、氮化硅、氮氧化硅、难熔金属氮化硅(其中难熔金属选自Ta、Zr、Hf和W)、碳化硅、掺碳的氧化物或SiCOH以及它们的氢化化合物。第一和第二介质帽盖层可以选自与介质材料相同的组。第一绝缘材料层可以为氧化硅或氮化硅或这些材料的多种掺杂物,例如磷硅酸盐玻璃(“PSG”)或硼磷硅酸盐玻璃(“BPSG”)、TEOS、SiC、SiCN、SiCH、或SiCNH。第二和随后的介质层可以是PECVD淀积的SiCOH或旋涂淀积的介质。
电子器件结构还包括淀积在第二和第三绝缘材料层中至少一个上并且具有渐变的过渡粘附层的介质材料的扩散阻挡层。电子器件结构还包括在第二绝缘材料层顶部上的介质,其作为反应离子蚀刻(“RIE”)硬掩模和抛光终止层以及在介质RIE硬掩模和抛光终止层顶部上的介质扩散阻挡层,在所述顶部介质和所述第二绝缘材料之间具有中间粘附层。电子器件结构还包括在第二绝缘材料层顶部上的第一介质RIE硬掩模/抛光终止层、在第一介质抛光终止层顶部上的第一介质RIE硬掩模/扩散阻挡层、在第三绝缘材料层顶部上的第二介质RIE硬掩模/抛光终止层、以及位于第二介质抛光终止层顶部上并且在不同材料的两层之间具有过渡层的第二介质扩散阻挡层。该电子器件结构还包括与上述层间介质和层内介质之间的材料相同的介质帽盖层。
有利的是,在后段制程(“BEOL”)布线工艺中实施上述提高电子器件结构的不同层之间粘附性的方法。
本发明的过渡层与现有技术的粘附层的不同之处在于它含有与下一层相同的元素,然而浓度不同,并且它含有额外的元素。过渡层的典型特点为与随后的SiCOH层相比C含量减少并且O含量增加。本发明的过渡层自身局限为距离界面小于~10nm。在淀积有SiCOH的本发明的一些实施例中,与SiCOH层相比,过渡层可以是富氧和/或碳耗尽。
附图说明
如图所示,从本发明下面的详细说明中,本发明的以上和其它目的、特点和优点将变得显而易见,其中:
图1示出了根据现有技术在BEOL中具有两金属化层的现有技术的电子器件的放大剖面图;
图2示出了根据本发明在两级金属化的介质之间具有过渡层的图1结构;
图3示出了图2的电子器件结构的放大剖面图,根据本发明在层内介质顶部上具有附加的扩散阻挡介质帽盖层并在扩散阻挡与层内和层间介质之间具有过渡层;
图4示出了图3的电子器件结构的放大剖面图,根据本发明具有附加的RIE硬掩模/抛光终止帽盖层和在抛光终止层顶部上的介质帽盖扩散阻挡层,在不同介质层之间具有过渡层;
图5示出了图4的电子器件结构的放大剖面图,根据本发明在层间介质材料膜的顶部上具有附加的RIE硬掩模/抛光终止介质层,在不同介质层之间具有过渡层。
具体实施方式
本发明公开了一种在绝缘或导电材料的不同层之间界面强度得到提高的结构。在本发明中通过在不同的两层之间形成薄过渡层提高了界面强度。在反应室中仍然存在表面预处理步骤的等离子体并处于激活时形成过渡层,同时把要淀积的膜的前体引入反应室。当为硅氧烷或其它含氧有机硅前体时,结果最佳。
本发明提供了一种使用每个不同层之间的薄过渡层来提高不同的绝缘或导电材料层之间的界面强度的方法。
本发明的过渡层可用于提高PECVD淀积的SiCOH介质与如基于硅的SiCH、SiCNH、氧化硅、氮化硅、或有机介质的另一介质之间的界面强度,或者包括上述介质的任何两个介质层之间的界面强度。介质层可以是集成电路的后段制程互连结构中的主互连介质、帽盖或硬掩模层。虽然过渡层可以具有与随后的介质不同的介电常数,但是与介质层的厚度相比,它可以形成为很薄的层,因此可以忽略它对有效介电常数的贡献。本发明的过渡层同样可以用于提高金属或金属氮化物与选自上述组的任何介质层之间的粘附性或界面强度。
根据本发明形成的电子器件显示在图2-5中;图1为现有技术的电子器件。应该注意,根据本发明图2-5所示的器件仅为示例,根据本发明可以形成无数其它器件。
图1示出了根据现有技术在硅衬底32上形成的电子器件30;图1表示现有技术并由此相应地标记。在硅衬底32的顶部,形成了绝缘材料层34,第一金属区36嵌在其内。在第一金属区36上进行化学机械抛光(“CMP”)工艺之后,在第一层绝缘材料层34和第一金属区36的顶部上淀积如介质膜38的优选介电常数小于SiO2的膜。第一绝缘材料层34适合由氧化硅、氮化硅、各种掺杂的这些材料、或任何其它合适的绝缘材料形成。通过光刻工艺构图介质膜38并在其中淀积导体层40。在第一导体层40上进行CMP工艺之后,在第一介质膜38和第一导体层40上形成第二层介质膜44。导体层40可以是金属性导体材料或非金属性导体材料的淀积层。例如,可以利用铝或铜的金属性导体材料或如氮化物或多晶硅的非金属性材料。第一导体40与第一金属区36电连接。
如图1中进一步所示,光刻工艺之后在第二层介质膜44中形成第二导体区50,之后为淀积第二导体材料的工艺。与淀积第一导体层40使用的材料类似,第二导体50也可以是金属性材料或非金属性材料的淀积层。第二导体50区与第一导体区40电连接并嵌在第二介质绝缘层44中。第二层介质膜44与第一绝缘材料层38紧密接触。在本具体实例中,第一绝缘材料层38用做层内介质材料,而第二绝缘材料层,即介质膜44用做层内和层间介质。
图2示出了根据本发明建于硅衬底32上的电子器件130。形成了嵌有第一金属区36的绝缘材料层34之后,在层34和36的顶部上形成薄过渡层100,约1到约100nm厚,优选约10到约20nm厚。然后参考图1继续工艺过程。
图3示出了根据本发明的电子器件60,与图2所示的电子器件130类似,但在第一绝缘材料层38和第二绝缘材料层44之间淀积附加的介质帽盖层62。介质帽盖层62可以适宜地由例如氧化硅、氮化硅、氮氧化硅、碳化硅(SiC)、含氮的碳化硅(SiCN)、碳氧化硅(SiCO)、改性的SiCOH和它们的氢化化合物以及难熔金属氮化硅形成,其中难熔金属选自Ta、Zr、Hf和W。此外,介质帽盖层62起扩散阻挡层的作用,用于防止第一导体层40扩散到第二绝缘材料层44内。
在本发明的一个实施例中,通过过渡层102提高了帽盖层62和介质层38之间的粘附性。在另一实施例中,通过过渡层104提高了介质层44和帽盖层62之间的粘附性。在又一实施例中,通过过渡层102提高了帽盖层62和介质层38之间的粘附性并且通过过渡层104提高了介质层44和帽盖层62之间的粘附性。
图4示出了根据本发明的电子器件70的另一可替换实施例。在电子器件70中,使用起RIE掩模和CMP(化学机械抛光)抛光终止层作用的两个附加介质帽盖层72和74。第一介质帽盖层72淀积在第一绝缘材料层38的顶部上。介质层72的作用是在平面化第一导体层40中采用的CMP工艺的终止点。抛光终止层72可以是合适的介质材料的淀积层,例如氧化硅、氮化硅、氮氧化硅、碳化硅(SiC)、含氮的碳化硅(SiCN)、碳氧化硅(SiCO)、改性的SiCOH和它们的氢化化合物以及难熔金属氮化硅,其中难熔金属选自Ta、Zr、Hf、Ti和W。介质层72的顶面与第一导体层40处于相同水平面。
在一个实施例中,通过过渡层106提高了帽盖层72和介质层38之间的界面强度。在另一实施例中,通过过渡层102提高了介质层62和帽盖层72之间的界面强度。在又一实施例中,通过过渡层106提高了帽盖层72和介质层38之间的界面强度并且通过过渡层102提高了介质层62和层72之间的界面强度。
出于相同的目的,第二介质层74可以添加在第二绝缘材料层44的顶部上。在一个实施例中,通过过渡层108提高了层74和介质层44之间的界面强度。
图5示出了根据本发明的电子器件80的又一可替换实施例。在该可替换实施例中,淀积介质82的附加层并从而将第二绝缘材料层44分成两个单独层84和86。因此图4中所示的层内和层间介质层44在互连92和互连94之间的边界处被分成层间介质层84和层内介质层86,如图5所示。在上介质层74的顶部上进一步淀积附加的扩散阻挡层96。本可替换实施例的电子器件80提供的一个附加好处是介质层82作为RIE蚀刻停止层,于是更好地控制了互连深度。
在一个实施例中,通过过渡层110提高了层82和介质层84之间的界面强度。在另一实施例中,通过过渡层112提高了层86和层82之间的界面强度。在又一实施例中,通过过渡层110提高了层82和介质层84之间的界面强度并且通过过渡层112提高了层86和层82之间的界面强度。在再一实施例中,通过过渡层114提高了扩散层96和介质层74之间的界面强度。在还一实施例中,通过过渡层108提高了介质层74和层内介质层86之间的界面强度。
在以上提到的任何一个实施例中,过渡层可以是富氧和/或碳耗尽,例如在制备期间将氧添加到馈送的等离子体中,来制备该层,或者在它的淀积期间特别是采用硅氧烷前体时等离子体条件的结果。
利用本发明的方法形成了图2-5每个图中的过渡层。如上所述,每个过渡层通常具有从约1nm到约100nm的厚度,更优选从约5到约20nm的厚度。具体地,本发明的方法起始于将如互连结构的衬底放置到其内可以产生等离子体的反应室内。合适的反应室包括:等离子体增强化学汽相淀积反应室、高密度等离子体反应室、溅射室、以及离子束室。反应室被抽真空然后衬底被加热到约400℃或更低。优选,衬底被加热到从约200℃到约400℃的温度。
接下来,对衬底进行表面预处理步骤,其中把至少一种表面预处理气体通入反应室,此时气体转变成等离子体。在表面预处理步骤中可以使用的至少一种表面预处理气体包括如Ar、Ne、He、Xe和Kr的惰性气体;H2;NH3;O2;SiH4和O2;以及它们的混合物。在一些实施例中,F原子也可以引入到馈送气体内。可以根据反应室系统和引入的气体类型改变表面预处理气体的流速。室压力可以为从0.05到20Torr的任何范围,但是优选的工作压力范围是1到10Torr。表面预处理持续第一时间段,通常从约0.08到约2分钟。
通常使用RF功率源来产生表面预处理气体的等离子体。RF功率源可以工作在高频范围(约100W或更大的数量级);低频范围(小于250W)或者可以采用两者的组合。高频功率密度可以为从0.1到2.0W/cm2的任何范围,但是工作的优选范围为0.2到1.0W/cm2的范围。低频功率密度为从0.0到1.0W/cm2的任何范围,但是工作的优选范围为0.2到0.5W/cm2的范围。选择的功率级别必须足够低以避免对露出的介质表面的显著溅射蚀刻(<5纳米的去除)。
在工艺的该阶段,将用于下一层的如SiCOH介质淀积的前体气体流引入反应室。此时反应室内仍然含有表面预处理气体的等离子体并在反应室内处于激活,然而下一层的前体气体正被引入。通入反应室内的前体气体流可以改变并且取决于要淀积层的化学和物理组成。前体反应物流入反应室内持续第二时间段,通常约0.03到约0.5分钟。在这些工艺步骤转换期间,尽管不是绝对关键,但是优选通过调整节流阀的位置以适应工艺气体流的改变而保持恒定的室压力。尽管同样不是绝对关键,但是优选在这些工艺步骤转换期间保持相同的功率级别以便提供更多可重复生产的层状膜。
第二时间段之后,停止了用于表面制备的气体流,调整用于前体气体的等离子体条件以用于淀积下一层。下一层的生长持续第三时间段,通常从约0.15到约5分钟。
本发明的以上工艺步骤可以重复任何次数以提供多层结构,其中每个连续淀积的层在其之间具有过渡层。
表面预处理步骤之后将淀积的层优选为低介电常数(k<3.2)或超低介电常数(k<2.4)的SiCOH型介质,衬底为具有如SiCHN的上层介质材料的互连结构,在其上形成有过渡层然后是SiCOH型介质。
在性质方面,本发明的过渡层提供的界面强度足以防止制造和可靠性试验期间互连结构的界面附近的分层或粘附破坏。在一个实例中,如本领域中的技术人员公知的四点弯曲试验中确定的,SiCOH与SiCHN之间的界面强度证实增加了几乎三(3)倍,从约2.2焦耳/m2到约6.0焦耳/m2。因此根据本发明的方法和形成的电子结构充分显示在以上说明和附图2-5中。应该强调的是图2-5中所示的电子结构的各实例仅用于示例可以应用在无数电子器件的制造中的创造性方法。
以下实例用于说明根据本发明包括过渡层的介质膜的制造并且表明可以得到的优点。
实例1
在本实例中,把含有电子结构(即衬底)的晶片放入等离子体增强化学汽相淀积(“PECVD”)反应室,电子结构具有在其中嵌有金属结构的第一介质的上层。然后把晶片加热到室温和450℃之间的温度。在通常的条件下,把晶片加热到180℃。然后把氩(Ar)通入反应室。在一个实例中,以30sccm的流速把Ar通入8”反应室,以获得100mTorr的压力。然后把连接到衬底支架的高频RF功率源开启到约60.0W持续0.5到2分钟。在不同的实例中,在-250VDC的偏压控制下运行所产生的RF功率。不中断等离子体,然后把淀积下一介质膜使用的前体混合物通入反应室,保持功率和压力不变直到气体稳定然后再持续5-15秒。然后切断Ar流并为淀积介质调节等离子体条件。介质可以是SiCOH。这样可以在SiCOH和SiCNH之间获得5.8J/m2的界面强度。
实例2
在本实例中,把含有电子结构(即衬底)的晶片放入300mm的PECVD反应室,电子结构具有在其中嵌有金属结构的第一介质的上层。然后把晶片加热到350℃。以900sccm的流速把Ar通入反应室,以获得4Torr的压力。把高频RF功率源开启到约300W并把低频RF源开启到约150W持续约20秒。不中断等离子体,然后把淀积下一介质膜使用的前体混合物通入反应室,并为淀积SiCOH介质调节功率和压力条件。在Ar流再持续5-15秒之后切断它并继续介质的淀积直到获得需要的厚度。这样可以在SiCOH和SiCNH之间获得4.3J/m2的界面强度。
在这些实例中,TEM/EELS、俄歇以及SIMS数据证实了界面改变以及~10nm内的SiCOH膜,其中该过渡层为急剧的碳耗尽(但不是零)并且急剧富SiO,在稳定状态的体区之前立即是稍微碳耗尽材料的平稳区。与具有不良界面强度的控制样品比较显示没有碳耗尽并没有富SiO尖峰,实际上显示了界面或界面附近的过量碳。
实例3
在不同的实例中,过程类似于实例1或实例2,但是预处理气体为He、O2、NH3或者Ar或He与O2、H2、NH3、SiH4的混合物。
在以上介绍的各实例的第二时间段期间,在预处理气体的附加影响下淀积过渡介质膜。如果预处理气体为如Ar的惰性气体,那么这种影响可以是物理的离子撞击,或者如果预处理气体混合物含有如O2的反应性气体,那么这种影响可以是物理化学的。
实例4
在本实例中,把含有电子结构(即衬底)的晶片放入300mm的PECVD反应室,电子结构具有在其中嵌有金属结构的第一介质的上层。然后把晶片加热到350℃。以900sccm的流速把Ar通入反应室,以获得4Torr的压力。把高频RF功率源开启到约300W并且把低频RF源启到约150W持续约20秒。中断等离子体并且将添加有900sccm流速的Ar用于淀积下一介质膜的前体气体混合物流引入反应室。在由淀积SiCOH介质调整的条件下激发等离子体。2-15秒之后,关断Ar流并继续淀积介质直到获得需要的厚度。在初始的2-15秒期间,氧可以添加到Ar。
虽然这里详细地介绍了本发明的几个实施例及它们的变形并示例在附图中,但是显然不脱离本发明的范围可以有多种其它变形。以上说明书不是为了将本发明限制得窄于附带的权利要求书。给出的实例仅为示例而不是限制。

Claims (28)

1.一种提高两个不同层之间包括粘附性和内聚性的界面强度的方法,所述方法包括以下步骤:
将具有介质或导电材料的上部第一层的衬底置于能够产生等离子体的反应室;
将所述上部第一层暴露到表面制备等离子体持续第一时间段;
引入将淀积在上部第一层上的第二层的前体持续第二时间段,同时在反应室中表面制备等离子体为激活的;以及
在第二时间段结束时停止表面预处理等离子体并调节到用于淀积所述第二层的等离子体参数。
2.根据权利要求1的方法,其中反应室包括等离子体增强化学汽相淀积反应室、高密度等离子体反应室、溅射室或离子束室。
3.根据权利要求1的方法,其中所述第一层为选自氧化硅、氮化硅、氮氧化硅、SiC、SiCH、SiCN、SiCHN和SiCOH组成的组中的一种介质。
4.根据权利要求1的方法,其中所述第二层为与第一层不同的介质,并且选自氧化硅、氮化硅、氮氧化硅、SiC、SiCH、SiCN、SiCHN和SiCOH组成的组中的一种介质。
5.根据权利要求1的方法,其中所述表面制备等离子体包括选自惰性气体、H2、O2、NH3、SiH4及其混合物组成的组中的一种表面预处理气体。
6.根据权利要求5的方法,其中所述表面预处理气体还包括F原子。
7.根据权利要求1的方法,其中所述衬底为互连结构。
8.根据权利要求1的方法,其中所述反应室包括用于产生所述等离子体的RF功率源。
9.根据权利要求1的方法,其中所述表面制备等离子体包括Ar气并且所述第二层为包括Si、C、O和H的介质。
10.一种半导体结构,包括:
衬底,具有上部第一层;
第二过渡层,位于所述上部第一层上;以及
第三层,位于所述第二过渡层上,其中所述第二过渡层提供了在所述第一和第三层之间的强粘附性和内聚强度。
11.根据权利要求10的半导体结构,还包括位于所述第三层上的附加层,每个附加层通过一过渡层粘附到下面层。
12.根据权利要求10的半导体结构,其中所述上部第一层是包括嵌入其内的金属结构的介质材料。
13.根据权利要求10的半导体结构,其中所述上部第一层为选自SiO2、TEOS、掺碳的玻璃、掺碳的氧化物、碳氧化硅、SiCOH、SiC、SiCN、SiCH、SiCNH、有机介质以及混合介质组成的组中一种介质材料。
14.根据权利要求10的半导体结构,其中所述第三层为选自SiO2、TEOS、掺碳的玻璃、掺碳的氧化物、碳氧化硅、SiCOH、SiC、SiCN、SiCH、SiCNH、有机介质以及混合介质组成的组中一介质层。
15.一种电子器件结构,具有多层绝缘材料作为层内或层间介质,包括:
半导体衬底,具有嵌在第一绝缘材料层中的第一金属区;
第二绝缘材料层,包括嵌在其内的第一导体区,所述第二绝缘材料层通过一过渡粘附层与所述第一绝缘材料层隔开,所述第一导体区与所述第一金属区电连接;以及
第三绝缘材料层,包括嵌入其内的第二导体区,所述第二导体区与所述第一导体区电连接。
16.根据权利要求15的电子器件结构,还包括位于所述第二绝缘材料层和所述第三绝缘材料层之间的介质帽盖层,所述介质帽盖层与所述第二层通过一过渡层被隔开。
17.根据权利要求16的电子器件结构,还包括在所述介质帽盖层和所述第三绝缘材料层之间过渡的粘附层和内聚层。
18.根据权利要求15的电子器件结构,还包括在第二绝缘材料层和第三绝缘材料层之间的第一介质帽盖层;以及在所述第三绝缘材料层顶部上的第二介质帽盖层。
19.根据权利要求18的电子器件结构,还包括在第二介质帽盖层和所述第三绝缘材料层之间的过渡层。
20.根据权利要求15的电子器件结构,还包括淀积在所述第二绝缘材料层和所述第三绝缘材料层中至少一个上的介质材料的扩散阻挡层。
21.根据权利要求20的电子器件结构,还包括在所述扩散阻挡层与所述第二绝缘材料层和所述第三绝缘材料层之间的过渡层。
22.根据权利要求15的电子器件结构,还包括在所述第二绝缘材料层顶部上的介质反应离子蚀刻(RIE)硬掩模/抛光终止层,以及在所述RIE硬掩模/抛光终止层顶部上的介质扩散阻挡层。
23.根据权利要求22的电子器件结构,还包括在所述介质反应离子蚀刻(RIE)硬掩模/抛光终止层与所述第二绝缘材料层之间的过渡层,以及在所述介质扩散阻挡层与所述RIE硬掩模/抛光终止层之间的过渡层。
24.根据权利要求15的电子器件结构,还包括在所述第二绝缘材料层顶部上的第一介质RIE硬掩模/抛光终止层;在所述第一介质RIE硬掩模/抛光终止层顶部上的第一介质扩散阻挡层;在所述第三绝缘材料层顶部上的第二介质RIE硬掩模/抛光终止层;以及在所述第二介质RIE硬掩模/抛光终止层顶部上的第二介质扩散阻挡层。
25.根据权利要求24的电子器件结构,还包括在所述第一介质RIE硬掩模/抛光终止层和位于所述第一介质RIE硬掩模/抛光终止层顶部上的所述第一介质扩散阻挡层之间的过渡层。
26.根据权利要求24的电子器件结构,还包括在所述第二介质RIE硬掩模/抛光终止层和位于所述第二介质RIE硬掩模/抛光终止层顶部上的所述第二介质扩散阻挡层之间的过渡层。
27.根据权利要求15的电子器件,还包括在介质材料的层间介质和介质材料的层内介质之间的介质帽盖层。
28.根据权利要求27的电子器件,还包括在所述介质帽盖层与所述层间介质和所述层内介质之间的过渡层。
CNB2004100623901A 2003-09-12 2004-07-09 具有改进的层间界面强度的半导体器件及其制备方法 Active CN1319148C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/662,022 US7067437B2 (en) 2003-09-12 2003-09-12 Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US10/662,022 2003-09-12

Publications (2)

Publication Number Publication Date
CN1595634A true CN1595634A (zh) 2005-03-16
CN1319148C CN1319148C (zh) 2007-05-30

Family

ID=34274002

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100623901A Active CN1319148C (zh) 2003-09-12 2004-07-09 具有改进的层间界面强度的半导体器件及其制备方法

Country Status (3)

Country Link
US (2) US7067437B2 (zh)
CN (1) CN1319148C (zh)
TW (1) TWI315549B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US8030737B2 (en) 2007-03-07 2011-10-04 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN102487057A (zh) * 2010-12-03 2012-06-06 中芯国际集成电路制造(北京)有限公司 金属前介质层及其制造方法
CN103021935A (zh) * 2012-12-24 2013-04-03 上海集成电路研发中心有限公司 局部空气隙的形成方法
CN103199080B (zh) * 2012-01-05 2016-04-27 国际商业机器公司 用于互连结构的层间电介质堆叠及其形成方法
CN108292594A (zh) * 2015-10-30 2018-07-17 应用材料公司 用于多层图案化应用的低温单一前驱物arc硬掩模

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20070155186A1 (en) * 2005-11-22 2007-07-05 International Business Machines Corporation OPTIMIZED SiCN CAPPING LAYER
US7691736B2 (en) * 2006-02-10 2010-04-06 Infineon Technologies Ag Minimizing low-k dielectric damage during plasma processing
US7459388B2 (en) * 2006-09-06 2008-12-02 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US7879720B2 (en) * 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
TWI400534B (zh) * 2009-07-15 2013-07-01 Chunghwa Picture Tubes Ltd 薄膜電晶體光感測器以及製作氟矽氧碳氫化合物介電層之方法
CN102053169B (zh) * 2009-11-10 2014-02-05 中芯国际集成电路制造(上海)有限公司 互连结构失效分析样品的制作方法
CN102468228B (zh) * 2010-11-19 2014-11-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE102010063887B4 (de) * 2010-12-22 2012-07-19 BSH Bosch und Siemens Hausgeräte GmbH Verfahren zum Herstellen eines pyrolysetauglichen Bauteils eines Gargeräts sowie pyrolysetaugliches Bauteil für ein Gargerät
KR20140117437A (ko) * 2011-12-28 2014-10-07 도호쿠 다이가쿠 배선 구조체, 배선 구조체를 구비한 반도체 장치 및 그 반도체 장치의 제조 방법
US9040390B2 (en) 2012-05-30 2015-05-26 International Business Machines Corporation Releasable buried layer for 3-D fabrication and methods of manufacturing
CA2914534C (en) 2013-06-06 2023-07-18 Timeless Veterinary Systems Inc. Method and system for providing a treatment protocol
KR102138676B1 (ko) * 2015-04-28 2020-07-28 미쓰이금속광업주식회사 표면 처리 구리박 및 그 제조 방법, 프린트 배선판용 동장 적층판, 및 프린트 배선판

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4647494A (en) * 1985-10-31 1987-03-03 International Business Machines Corporation Silicon/carbon protection of metallic magnetic structures
US5504040A (en) 1992-06-30 1996-04-02 Texas Instruments Incorporated Planarized material layer deposition using condensed-phase processing
DE4428663A1 (de) * 1994-08-12 1996-02-15 Tilmann Noller Geschwindigkeitsmesser
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6075227A (en) * 1998-09-15 2000-06-13 Tregaskiss Ltd. Taper lock contact tip and head assembly for welding device
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US6764774B2 (en) * 2002-06-19 2004-07-20 International Business Machines Corporation Structures with improved adhesion to Si and C containing dielectrics and method for preparing the same
US20040124420A1 (en) * 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US8030737B2 (en) 2007-03-07 2011-10-04 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US8486836B2 (en) 2007-03-07 2013-07-16 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
CN102487057A (zh) * 2010-12-03 2012-06-06 中芯国际集成电路制造(北京)有限公司 金属前介质层及其制造方法
CN102487057B (zh) * 2010-12-03 2014-03-12 中芯国际集成电路制造(北京)有限公司 金属前介质层及其制造方法
CN103199080B (zh) * 2012-01-05 2016-04-27 国际商业机器公司 用于互连结构的层间电介质堆叠及其形成方法
CN103021935A (zh) * 2012-12-24 2013-04-03 上海集成电路研发中心有限公司 局部空气隙的形成方法
CN108292594A (zh) * 2015-10-30 2018-07-17 应用材料公司 用于多层图案化应用的低温单一前驱物arc硬掩模

Also Published As

Publication number Publication date
CN1319148C (zh) 2007-05-30
TWI315549B (en) 2009-10-01
US7888741B2 (en) 2011-02-15
TW200524042A (en) 2005-07-16
US20050059258A1 (en) 2005-03-17
US20060189153A1 (en) 2006-08-24
US7067437B2 (en) 2006-06-27

Similar Documents

Publication Publication Date Title
CN1319148C (zh) 具有改进的层间界面强度的半导体器件及其制备方法
JP4288251B2 (ja) 半導体相互接続構造体を形成する方法
US8445377B2 (en) Mechanically robust metal/low-k interconnects
US7338895B2 (en) Method for dual damascene integration of ultra low dielectric constant porous materials
JP5267130B2 (ja) 半導体装置およびその製造方法
JP5016067B2 (ja) 多孔SiCOH膜を含む半導体デバイス構造およびその製造方法
US6362091B1 (en) Method for making a semiconductor device having a low-k dielectric layer
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
CN1933124A (zh) 制造半导体器件的方法
CN1819179A (zh) 半导体器件及其制造方法
CN1467818A (zh) 制造具有多层布线的半导体器件的方法
CN101064251A (zh) 半导体结构的形成方法及半导体结构
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
CN1577830A (zh) 衬底上的电互连结构及其制作方法
JP3827056B2 (ja) 層間絶縁膜の形成方法及び半導体装置
US20080188074A1 (en) Peeling-free porous capping material
JP2004253791A (ja) 絶縁膜およびそれを用いた半導体装置
CN1467838A (zh) 具有多层铜线路层的半导体器件及其制造方法
CN1976020A (zh) 互连结构及其形成方法
JP2005050954A (ja) 半導体装置およびその製造方法
US8981563B2 (en) Semiconductor device and method of manufacturing the same
JP2010517307A (ja) 誘電体キャップ層
US6982206B1 (en) Mechanism for improving the structural integrity of low-k films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.