JP5016067B2 - 多孔SiCOH膜を含む半導体デバイス構造およびその製造方法 - Google Patents

多孔SiCOH膜を含む半導体デバイス構造およびその製造方法 Download PDF

Info

Publication number
JP5016067B2
JP5016067B2 JP2009554727A JP2009554727A JP5016067B2 JP 5016067 B2 JP5016067 B2 JP 5016067B2 JP 2009554727 A JP2009554727 A JP 2009554727A JP 2009554727 A JP2009554727 A JP 2009554727A JP 5016067 B2 JP5016067 B2 JP 5016067B2
Authority
JP
Japan
Prior art keywords
layer
sicoh
precursor
carbon
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009554727A
Other languages
English (en)
Other versions
JP2010522433A (ja
Inventor
エーデルシュタイン、ダニエル、シー
デモズ、アレクサンドロス
ゲイツ、ステファン、エム
グリル、アルフレッド
モリス、スティーヴン、イー
グエン、ヴゴック、トラン
レイター、スティーヴン
レスタイノ、ダリル、ディー
イム、カン、サブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
International Business Machines Corp
Original Assignee
Applied Materials Inc
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, International Business Machines Corp filed Critical Applied Materials Inc
Publication of JP2010522433A publication Critical patent/JP2010522433A/ja
Application granted granted Critical
Publication of JP5016067B2 publication Critical patent/JP5016067B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249961With gradual property change within a component

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、概して、誘電層または導電層に対する界面力(界面近傍の接着力および結合力)が向上した少なくとも1つの多孔SiCOH(pSiCOH、炭素ドープ酸化物)層を含む半導体電子デバイス構造に関する。界面力の向上は、多孔SiCOH層と誘電層または導電層との間に形成された遷移層の存在によって生じる。遷移層は、本発明において、リアクタ内に表面調整プラズマが存在したままでありアクティブである間に特定の層の堆積を開始することで形成される。
近年、ULSI回路において利用される電子デバイスが小型化し続けていることの結果として、後工程(BEOL)メタライゼーションの抵抗が増大し、これに伴って相互接続容量が低減することはない。多くの場合、相互接続は更に高いアスペクト比へと寸法変更されて抵抗の増大を緩和し、これが容量増大につながる。この組み合わせの効果によって、ULSI電子デバイスにおける信号遅延が大きくなる。今後のULSI回路のスイッチング性能を向上させるために、低誘電率(k)絶縁体、特にシリコン酸化物よりも著しく低い誘電率(k)を有するものを導入することで、容量を低減させている。
ULSIデバイスにおける用途向けに検討される低k材料には、メチルシロキサン、メチルシルセスキオキサン、ならびにスピン・オン技法によって製造される他の有機および無機ポリマ等のポリマ、または、プラズマ増強化学気相付着(PECVD)技法によって堆積されるSi、C、O、およびH含有材料(SiCOH、SiOCH、炭素ドープ酸化物(CDO)、シリコン・オキシカーバイド、オルガノシリケート・ガラス(OSG))が含まれる。誘電定数を更に小さくする試みにおいて、Grill等の米国特許第6,312,793号は、多孔SiCOH等の多孔低k誘電体を開示する。集積回路(IC)の相互接続構造に低k誘電体を組み込むには、拡散バリア・キャップまたはエッチ・ストップおよび化学機械研磨(CMP)ハードマスク等の他の誘電材料の使用が必要となることが多い。ICデバイスの複雑な構造においては、多くの場合、異なる層間の接着があまりに低いので、結果として、デバイスのプロセス処理中、チップへのダイシング中に層間剥離(デラミネーション)が生じ、または通常のチップ・パッケージング材料によって加えられる機械的応力を受けるために信頼性が低下することになる。多くの場合、接着が適切であっても、堆積した低k膜は、堆積中に形成される初期界面近傍の結合力が低いことがあり、接着試験によって、この初期層内に1から数十nmの厚さの割れが生じる場合がある。慎重な故障分析を行わなければ、界面近傍の低k膜の結合力が標準以下であることでなく、かかる場合の接着試験からの低い故障エネルギが、間違って界面接着の不良原因であるとされる場合がある。これは、Si、C、O、およびH(SiCOH)から成る炭素ドープ酸化物誘電体の、SiN、SiC(H)、またはSiCN(H)等の他のハードマスクまたは拡散バリア・キャップ誘電体に対する界面力(界面近傍の付着力および結合力)に、特に当てはまる。
従って、異なる層間に良好な界面力を有する多数の誘電層および導電層を含む絶縁構造を含む半導体デバイス、ならびに、かかる半導体デバイスを製造するための方法を提供することが非常に望ましい。
米国特許第6,312,793号 米国特許第6,570,256号 米国特許第6,740,539号 米国特許第7,067,437号 米国特許第6,147,009号 米国特許第6,441,491号 米国特許第6,437,443号 米国特許第6,541,398号 米国特許第6,479,110B2号 米国特許第6,497,963号 米国公開特許第2008−0233366号公報
既存の層に対する低k誘電体の界面力を増大させるために、様々な解決策が提案されている。
Conti等の米国特許第6,570,256号および6,740,539号は、炭素傾斜層(carbongraded layer)を開示する。この層を、炭素含有オルガノシリケート層の初期領域内で用いて、その下にある基板に対する接着を向上させることができる。しかしながら、いわゆる炭素傾斜層は、炭素の濃度が層ごとに段階的に高くなる連続的な個別の層から成る。従って、各炭素傾斜層は、実際には一定の炭素濃度の層である。
Edelstein等の米国特許第7,067,437号は、下にある誘電層または導電層と高濃度のSiCOH層との間の炭素傾斜遷移層を開示する。炭素傾斜遷移層は、酸素リッチ(oxygen rich)または炭素欠乏(carbon depleted)あるいはその両方とすることができる。
前述の引例によって、高濃度の誘電層を含む構造が作り出された。本発明者等は、多孔誘電層が、その形成において、特に誘電体に多孔を形成するために用いるポロゲン(porogen)によって発生する炭素のために、いくつかの問題点を有することを見出した。また、多孔誘電層を形成するために用いる前駆物質が気相で急速に反応し、形成される粒子が製造基板上にとどまり、気相核形成(GPN:gas phase nucleation)として知られるものが起こる場合に、別の問題が生じる。そして、粒子によって、パターニングの欠陥および他の製造障害が生じる。本発明者等は、GPNを発生する方法(条件)およびGPNを発生しない好適な方法(条件)を分析した。
従って、本発明の目的は、少なくとも1つの多孔SiCOH層と相互接続構造内の他層との間の界面力が向上した多数の誘電層および導電層を含む、半導体デバイス構造、ならびに絶縁構造を製造する方法を提供することである。
本発明の別の目的は、界面の連続的なグレーディング(grading)を可能とするプロセスによってこれらの界面力向上を達成することである。
本発明の更に別の目的および利点は、添付図面と関連付けて考慮される本発明の以下の説明を参照した後に明らかとなろう。
本発明の目的および利点は、本発明の第1の態様に従って、異なる層間の界面力を向上させるための方法を提供することによって達成される。この方法は、
a)誘電材料層または導電材料層を有する基板を用意するステップと、
b)誘電材料層または導電材料層上に、実質的に炭素を有しない酸化物層を形成するステップと、
c)酸化物層上に傾斜遷移層を形成するステップであって、この傾斜遷移層が、酸化物層との界面において実質的に炭素を有さず、多孔SiOH層に向かって徐々に炭素が増大していく、ステップと、
d)傾斜遷移層上に多孔SiCOH層を形成するステップであって、この多孔SiOH層がこの層全体に均質かつ均一の組成を有する、ステップと、
を含む。
本発明の第2の態様に従って、異なる層間の界面力を向上させるための方法が提供される。この方法は、
a)誘電材料層または導電材料層を有する基板を用意するステップと、
b)誘電材料層または導電材料層上に、実質的に炭素を有しない酸化物層を形成するように、第1の時間期間にわたって酸素およびSiCOH前駆物質流をチャンバ内に導入するステップと、
c)酸化物層上に傾斜遷移層を形成するように、酸素流を維持しながら、SiCOH前駆物質流を所定量まで徐々に増大させると共に、第2の時間期間にわたってチャンバ内にポロゲン前駆物質流を導入し所定量まで徐々に増大させるステップであって、傾斜遷移層が、酸化物層との界面において実質的に炭素を有さず、多孔SiOH層に向かって徐々に炭素が増大していく、ステップと、
d)傾斜遷移層上に多孔SiCOH層を形成するように、第3の時間期間にわたってチャンバ内のSiCOH前駆物質およびポロゲン前駆物質流を所定量に維持しながら、酸素流を所定値まで急激に低減させるステップであって、多孔SiOH層がこの層全体に均質な組成を有する、ステップと、
を含む。
本発明の第3の態様に従って、異なる層間の界面力を向上させるための方法が提供される。この方法は、
a)誘電材料層または導電材料層を有する基板を用意するステップと、
b)誘電材料層または導電材料層上に、実質的に炭素を有しない酸化物層を形成するように、第1の時間期間にわたって酸素流およびSiCOH前駆物質流をチャンバ内に導入するステップであって、酸素およびSiCOH前駆物質流が、開始時間、終了時間、および第1の時間期間中の増大率について別個に調節可能である、ステップと、
c)酸化物層上に傾斜遷移層を形成するように、酸素流を維持しながら、SiCOH前駆物質流を所定の量まで徐々に増大させると共に、第2の時間期間にわたってチャンバ内にポロゲン前駆物質流を導入し所定量まで徐々に増大させるステップであって、傾斜遷移層が、酸化物層との界面において実質的に炭素を有さず、多孔SiOH層に向かって徐々に炭素が増大していき、酸素、SiCOH前駆物質、およびポロゲン前駆物質流が、開始時間、終了時間、および第2の時間期間中の増大率について別個に調節可能である、ステップと、
d)傾斜遷移層上に多孔SiCOH層を形成するように、第3の時間期間にわたってチャンバ内のSiCOH前駆物質およびポロゲン前駆物質流を所定量に維持しながら、酸素流を所定値まで急激に低減させるステップであって、多孔SiOH層がこの層全体に均質な組成を有し、酸素、SiCOH前駆物質、およびポロゲン前駆物質流が、開始時間、終了時間、および第3の時間期間中の増大率について別個に調節可能である、ステップと、
を含む。
本発明の第4の態様に従って、SiCOH膜構造が提供される。この構造は、
誘電材料層または導電材料層を有する基板と、
誘電材料層または導電材料層上の、実質的に炭素を有しない酸化物層と、
酸化物層上の傾斜遷移層であって、酸化物層との界面において実質的に炭素を有さず、多孔SiOH層に向かって徐々に炭素が増大していく、傾斜遷移層と、
傾斜遷移層上の多孔SiCOH層であって、この層全体に均質な組成を有する、多孔SiCOH層と、
を含む。
本発明の第5の態様に従って、電子デバイス構造が提供される。この構造は、
誘電材料層を有する基板と、
誘電材料層内の複数の銅ダマシン導体と、を含み、この誘電材料が、
誘電材料層上の、実質的に炭素を有しない酸化物層と、
酸化物層上の傾斜遷移層であって、酸化物層との界面において実質的に炭素を有さず、多孔SiOH層に向かって徐々に炭素が増大していく、傾斜遷移層と、
傾斜遷移層上の多孔SiCOH(pSiCOH)層であって、この層全体に均質な組成を有する、多孔SiCOH層と、
を含む。
新規と考えられる本発明の特徴および本発明の特徴的な要素について、具体的に特許請求の範囲において述べる。図面は、例示の目的のためだけのものであり、縮尺どおりに描かれているわけではない。しかしながら、本発明自体は、構成および動作方法の双方について、以下の添付図面と関連付けて詳細な説明を参照することによって、最良に理解することができる。
従来技術の相互接続基板の横断面図である。 本発明による相互接続基板の横断面図であり、先行する層に対してSiCOH誘電層を向上させるために界面層がある。 界面層およびSiCOH層の拡大横断面図である。 高濃度SiCOH層を形成するための流量対時間のグラフである。 多孔SiCOH層を形成するための流量対時間のグラフである。 本発明の第1の実施形態に従った多孔SiCOH層を形成するための流量対時間のグラフである。 本発明の第2の実施形態に従って本発明による多孔SiCOH層を形成するための流量対時間のグラフである。 本発明に従った多孔SiCOH層を形成するプロセスの様々なステップを示すブロック図である。 図5に示した流量対時間に従って形成した多孔SiCOHのTOF−SIMS分析グラフである。 図6に示した流量対時間に従って形成した多孔SiCOHのTOF−SIMS分析グラフである。 図7に示した流量対時間に従って形成した多孔SiCOHのTOF−SIMS分析グラフである。
本発明は、絶縁材料または導電材料の異なる層間の界面力を向上させた構造を開示する。界面力の向上は、本発明において、異なる対の層の間に薄い遷移層を形成することによって得られる。遷移層は、表面前処理ステップのプラズマがリアクタ・チャンバ内に存在したままでありアクティブである間に形成され、これと同時に、堆積する膜の前駆物質がリアクタ・チャンバ内に導入される。
更に詳細に図面を参照し、特に図1を参照すると、全体的に10として示す従来技術の半導体構造が図示されている。半導体構造10は、下部に半導体材料12を含み、1つ以上の金属(メタライゼーション)層を有し、誘電材料14および金属層16を含む。誘電材料14と半導体材料12との間に1つ以上の金属層が存在することも可能であることは理解されよう。
誘電材料14の上に、次の金属層を表す別の誘電材料層20がある。必要な数の金属層を製造するまで、誘電材料20の上に更に別の金属層が存在することも可能である。かかる更に別の金属層は、明確さのために図示せず、本発明の理解には必須ではない。誘電材料20と誘電材料14との間に、キャッピング層18がある。キャッピング層18は、通常、誘電材料14、20がいわゆる低k誘電材料である場合に用いられる。キャッピング層18は、半導体構造10の処理中に24において開口して、金属層16とバイア22との間の電気的接続を可能とする。キャッピング層18は通常、SiCHまたはSiCHN等の炭化ケイ素系の材料である。
最新の半導体構造は、多くの場合、性能および信頼性を最大にするように誘電材料を混合し調和させる。上述のように、低k誘電材料が好適であるのは、低い誘電率によって相互接続構造の電気的性能が向上するからである。誘電材料14は、先に参照したような、いずれかの一般的に用いられる低k誘電材料とすることができる。しかしながら、誘電材料20はSiCOH誘電材料である。SiCOHとキャッピング層18の材料との間の接着は不充分であり、多くの場合この結果として金属層の層間剥離(デラミネーション)が起こることがわかっている。
誘電材料20が多孔SiCOH(pSiCOH)である場合、SiCOH(いわゆる高濃度SiCOH)と下にあるキャッピング層18との間に見られる接着問題。多孔SiCOHは、誘電率が低いので好適であり、最新の半導体構造に組み込まれている。しかしながら、本発明者等は、多孔SiCOHが、その形成において、処理リアクタ内で多孔誘電層を形成するために用いる2つの前駆物質およびO(酸素)の相互作用のために、いくつかの問題を有することを見出した。ある条件のもとでは、前駆物質は気相で急速に反応して製造基板上に粒子を形成し、気相核形成(GPN)として知られるものが起こる。
ここで図2を参照すると、本発明の好適な実施形態が図示されている。半導体構造100は、半導体材料12および多数の金属層を含み、その1つは金属層16を有する誘電材料14によって指示されている。誘電材料14は、多孔SiCOHを含むいずれかの低k誘電材料とすることができる。誘電材料14の上に、キャッピング層18があり、これも24において開口して、誘電材料20内のバイア22に対する接続を可能とする(銅ダマシン・コネクタまたは他の金属等)。実際には、典型的な半導体構造100は、かかるバイア22を多数有する。誘電材料20は多孔pSiCOHである。多孔SiOH20とキャッピング層18との間の界面力を向上させるために、界面構造26があり、これは多孔SiCOH20とキャッピング層18との間の界面力を劇的に、驚くほど、かつ予想されないほど向上させる。
図3に、界面構造26を更に詳細に示す。ここで、界面構造26は実際には2つの別個の層28および30から成ることがわかる。層28は、実質的に炭素を有しない酸化物層である。「実質的に炭素を有しない」という言葉が意味するのは、この層において、例えばx線光電子分光(XPS:x-ray photoelectron spectroscopy)または飛行時間二次イオン質量分析(TOF SIMS:time-of-flight secondary ion mass spectrometry)によって検出可能な炭素が測定されないということである。本発明の目的のためには炭素が全く存在しない方が好適であるが、0.1から3原子パーセントまでの量の炭素は本発明の悪影響を与える可能性がないと考えられる。層30は、傾斜遷移層(graded transition layer)であり、酸化物層28との界面には実質的に炭素も多孔も有しないが、炭素および多孔の双方の量は所定のレベルに達するまで徐々に増す。この点で、傾斜遷移層30上に均質の多孔SiCOH層20が形成される。
層28および30は、集積構造の誘電率を上昇させるので、できる限り薄く維持されることが望ましい。酸化物層は、約1から100オングストロームの厚さが好ましく(20オングストロームが非常に好ましい)、傾斜遷移層は、約50から300オングストロームの厚さが好ましい。
傾斜遷移層30における炭素濃度プロファイルは、スパイクもピークも経験せず(以降、まとめてピークと称する)、傾斜遷移層30における酸素濃度は、一時的低下(ディップ)も谷も経験しないことが望ましい。これらの条件のいずれかが遷移層30の脆弱性を招き得るからである。本発明者等は、かかる脆弱性が界面構造26内の多孔SiCOHのデラミネーションを生じる場合があることを見出し、更に、炭素および酸素の濃度プロファイルを検出するためにはTOF SIMSによる深さプロファイリングが好適な方法であることを発見した。
本発明者等は、堅固な界面層26の形成にとって重要な3つの条件があると考える。すなわち、酸化物層28には実質的に炭素が不在でなければならず、傾斜遷移層30内の炭素濃度はピークを有してはならず、傾斜遷移層30内の酸素濃度が一時的低下も谷も有してはならない。
ここで図4を参照すると、従来技術のプロセスのための流量対時間の図が示されている。時間T1において通常は1〜2秒間、誘電体前駆物質(SiCOH前駆物質)がプラズマ増強化学気相付着(PECVD)チャンバに流される。その後、時間T2において通常は2秒間、誘電体前駆物質を増大させながら酸素濃度を低下させる。時間T3において通常は50秒間、誘電体前駆物質を高い流量に保持しながら酸素を低い流量に保持する。この結果として得られた構造が炭素傾斜構造であり、これは、炭素が徐々に増加しながらSiCOHの形成も増すT1〜T2間隔中に形成され、この後、T2〜T3間隔中に誘電体SiCOHの高濃度の均質層が得られる。
図4から図7を参照すると、当技術において既知のように、実際の前駆物質の流れは曲線的で漸進的な遷移を有するが、図面では概略的に不連続的で急激な遷移を示す。上述のように、ここでは多孔性SiCOHの少なくとも1つの層を形成することが望ましい。図5に示すように、誘電前駆物質を増大させる場合、当業者は、ポロゲン前駆物質を導入するべきだと想定する場合がある。しかしながら、本発明者等は、かかるプロセス・シーケンスでは、T1〜T2間隔中に形成される炭素傾斜遷移層において大きな炭素ピークが生じ、結果として、機械的に脆弱な界面層が生じることを見出した。
本発明の第1の実施形態によれば、図6に示すように、T2〜T3間隔中のように、プロセス・フローのもっと後の時点でポロゲン前駆物質を導入する。T1からT2の時間差は、ポロゲン導入のための「オフセット」と呼ばれ、このオフセットによってプロセスが改善されるが、炭素のピークおよび酸素の一時的低下が起こり、それら双方によって機械的に脆弱な界面層が生じる。
図7に、本発明の第2の実施形態に従ったプロセスを示す。時間T1において通常1〜4秒間、酸素および誘電体前駆物質をPECVDチャンバに流す。任意に、ヘリウムまたはアルゴンを酸素と共にリアクタ・チャンバに導入することができる。酸素の流量は高く保持するが、誘電体前駆物質の流量は低く維持することによって、(上述したように)実質的に炭素を有しない酸化物層が基板上に形成される。時間T2において通常は2〜4秒間、誘電体前駆物質を所定のレベルに増大させながら、酸素の流量は高いまま維持する。T2では、誘電体前駆物質の流れが安定している。T1〜T2間隔中にポロゲン前駆物質を導入する。T3では、ポロゲン前駆物質の流量が安定している。T3>T2を有するように2つの方法を用いることができる。すなわち、図6に示したように1〜2秒間までのオフセットもしくは遅延のいずれかを用いること、またはポロゲンの増大率(ramp rate)を誘電体のものよりも小さくすることができる。このケースを、図7において、ポロゲン対誘電体について低い方の勾配の36として示す。T1〜T2間隔中に、炭素および多孔率の双方が徐々にかつ均一に増大する炭素傾斜遷移層が形成される。T2の間は、炭素のピークも酸素の一時的低下もない。T2とT3との間の間隔38はできるだけ短いことが好ましい。本発明者等は、プロセスのもっと早い時期でなくT3において酸素流を低減させることが好ましいことを見出した。図5および図6に関連して、プロセスの早期に酸素を低減させることを図示したが、これは炭素のピークまたは酸素の一時的低下あるいはその両方を生じ、結果として接着力が弱くなる。更に、T1〜T2間隔中の目的は、SiCOHを最初に形成して、T1〜T2間隔中に酸素を高く保持するようにすることである。T3の開始時、またはおそらくはT3の開始直前に、酸素の流量を急激に低減させながら、誘電体前駆物質およびポロゲン前駆物質をほぼ一定に維持し、これをプロセスが終了するまで継続する。T4では、全ての流れが多孔SiCOH膜を堆積するための値に安定する。T4時間間隔は通常、10から200秒の範囲である。T4の間、均質な多孔SiCOH層が形成される。最良の誘電層を得るように、酸素、誘電体前駆物質、およびポロゲンの様々な流量を別個に調節することができる。前述の段階の各々は、リアクタ内のプラズマを中断することなく、各段階において気体混合物およびプラズマ・パラメータを調節することによって実行される。
ここで図8を参照すると、本発明の方法は、最初のステップ40から開始して、相互接続構造等の基板を、プラズマを発生可能なリアクタ・チャンバ内に位置決めする。適切なリアクタは、プラズマ増強化学気相付着リアクタ、高濃度プラズマ・リアクタ、スパッタリング・チャンバ、およびイオン・ビーム・チャンバを含む。リアクタを排気し、次いで基板を約400℃以下の温度に加熱する。好ましくは、基板は約200℃から約400℃の温度に加熱する。
次のステップ42において、基板に任意の表面前処理ステップを行って、少なくとも1つの表面前処理ガスをリアクタ内に流し、この時これをプラズマに変換する。表面前処理ステップにおいて使用可能な少なくとも1つの表面前処理ガスは、Ar、Ne、He、Xe、およびKr等の不活性ガス、H2、NH3、O2、SiH4、およびO2、それらの混合物を含む。いくつかの実施形態においては、供給ガスにF原子も導入することができる。表面処理ガスの流量は、リアクタ・システムおよび導入するガスの種類に応じて変動し得る。チャンバ圧は、0.05から20トールまでのいずれかの範囲とすることができるが、好適な圧力動作範囲は1から10トールである。表面前処理ステップは、典型的に約0.08から約2分である第1の時間期間にわたって行われる。
通常、RF電源を用いて、表面前処理ガスのプラズマを発生させる。RF電源は通常13.6MHzで動作するが、他の周波数も使用可能である。任意に、低周波数RF成分(1MHz未満)を用いることができ、またはそれらの組み合わせを使用可能である。高周波数電力密度は、0.1から2.0W/cm2のいずれかの範囲とすることができるが、好適な動作範囲は0.2から1.0W/cm2である。低周波数電力密度は、0.0から1.0W/cm2のいずれかの範囲とすることができるが、好適な動作範囲は0.0から0.5W/cm2である。
プロセスのこの時点で、次のステップ44が開始し、例えば図3に酸素層28として示すような酸化物の炭素欠乏層を形成するために、前駆物質ガス流をリアクタ内に導入する。従って、この時点でリアクタは、このリアクタ内に存在したままでありアクティブである表面前処理ガスのプラズマを含むが、次層の酸素およびSiCOH誘電体前駆物質の前駆物質ガスが導入されている。リアクタ内への前駆物質ガス流の導入は、変動する場合があり、堆積する層の化学的および物理的な構造に依存する。リアクタ内への前駆物質反応物流は、典型的に約1から4秒である第2の時間間隔にわたって行われる。これらのプロセス・ステップの移行中、絶対的に重要ではない場合もあるが、プロセス・ガス流の変化により、絞り弁の位置を調整可能とすることによって一定のチャンバ圧を維持することが好ましい。また、絶対的に重要はでない場合もあるが、設けた多層膜をいっそう再生可能とするために、これらのプロセス・ステップの移行中に同一の電力レベルを維持することが好ましい。
次のステップ46は、例えば図3に傾斜遷移層30として示した、炭素欠乏層上の炭素傾斜遷移層を形成する。相互接続基板はリアクタ・チャンバ内に残っており、ステップ46はリアクタ内のプラズマを中断することなく実行される。この層を形成するために酸素およびSiCOH誘電前駆物質の前駆物質ガスを調整しながら、ポロゲン前駆物質をリアクタ・チャンバ内に導入する。このステップ46は2〜4秒間行われる。このステップの間、図6および図7に示すように、O流を比較的高い値に維持しながら、誘電体およびポロゲン前駆物質の流量を増大させる。
図8のプロセスにおける最後のステップ48は、例えば図2に多孔SiCOH層20として示した、傾斜遷移層上の多孔SiCOH層を形成する。ステップ46と48との間の移行中、相互接続基板はリアクタ・チャンバ内のプラズマに維持されるが、酸素、誘電体前駆物質、およびポロゲン前駆物質のプラズマ・パラメータおよび前駆物質ガスを調整して、多孔SiCOH層を形成する。時間長は、多孔SiCOH層の所望の厚さに応じて可変であるが、約50秒とするべきである。多孔SiCOHは超低誘電率(k<2.6)を有し、基板はSiCHN等の誘電材料の上層を有する相互接続構造であり、その上に界面構造が、次いでSiCOHタイプの誘電体が形成される。
本発明の上述の処理ステップを、いずれかの回数だけ繰り返すことで、連続して堆積された各層がそれらの間に界面層を有する多層構造を設ける。
性質上、本発明の遷移層が与える界面力は、製造中および信頼性の試験中に相互接続誘電体と誘電キャップ層との間の界面近傍におけるデラミネーションや結合の障害を防止するのに充分な強度である。
用いられる誘電体前駆物質は、いずれかのアルコキシシランとすることができる。例えば、この前駆物質は、ジエトキシメチルシラン、ジメチルジメトキシシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサンから成る群から選択することができ、好適な1つの前駆物質はジエトキシメチルシラン(DEMS)である。ポロゲン前駆物質は、ビシクロヘプタジエン(BCHD)、ヘキサジエン(HXD)、または、米国特許第6,147,009号、第6,312,793号、第6,441,491号、第6,437,443号、第6,541,398号、第6,479,110B2号、および第6,497,963号に記載された他の分子から成る群から選択することができる。好適な1つの前駆物質は2,5−ノルボルナジエン(またはビシクロヘプタジエンBCHD)である。
実施例
実施例1
SiCNH合金層を含むSiウェハを用いて、相互接続基板をシミュレーションした。同一のSiCNH合金が、予め形成したパターニング相互接続層を覆うようにした。SiCNH合金層を含むSiウェハをPECVDチャンバに配置し、図5に示した酸素、誘電体前駆物質、およびポロゲン前駆物質の流量に従って、多孔SiCOH層を準備した。以下の表1にプロセスの詳細を記載する。
Figure 0005016067
完成した構造のTOF−SIMS分析を行った。その結果を図9に示す。
図9のX軸はスパッタ時間であり、これはサンプル内の深さに比例する。X軸のゼロはサンプルの上面であり、200秒を超える時間はSiCNH層である。Y軸は、TOF−SIMSによって検出された二次イオンについての信号強度である。炭素についての信号対深さを60と標示する。SiOについての信号対深さを62と標示する。SiNについての信号対深さを64と標示する。
サンプルは、この特定のサンプルについて著しい炭素のピークおよび酸素の一時的低下(ディップ)を示し、これは機械的に脆弱なサンプルを意味する。サンプルは、測定接着力が2.0J/m2であった。
実施例2
SiCNH合金層を含む第2のSiウェハ(相互接続基板をシミュレーションする)をPECVDチャンバに配置し、図6に示した酸素、DEMS誘電体前駆物質、およびBCHDポロゲン前駆物質の流量プロファイルに従って、多孔SiCOH層を形成した。以下の表2にプロセスの詳細を記載する。
Figure 0005016067
ステップ0からT2をプラズマの電力密度を低下させて実行し、T2以降をもっと高い電力密度で実行するように、電力密度を低下させることが有利であることが、本発明者等によって見出された。SiCOH前駆物質の増大率(傾斜率)を毎秒500から1500ミリグラム/分の間とし、ポロゲン前駆物質の増大率を毎秒100から600ミリグラム/分の間とすることが有利であることが、本発明者等によって見出された。
図10に、実施例2についてのTOFS−SIMS分析を示す。炭素についての信号対深さを70と標示する。SiOについての信号対深さを72と標示する。SiNについての信号対深さを74と標示する。明らかであるように、サンプルは炭素のピークおよび酸素の一時的低下の双方を示し、これは機械的に欠陥のあるサンプルであることを意味する。これは、炭素のピークおよび酸素の一時的低下の領域においてデラミネーションを示した機械的試験の結果によって検証された。サンプルは、2.5J/m2の接着力を示した。
PECVDチャンバ内に基板を配置し、図7に示した流量プロファイルに従って酸素、DEMS誘電体前駆物質、およびBCHDポロゲン前駆物質を流すことによって、第3の相互接続基板を準備した。以下の表3にプロセスの詳細を記載する。
Figure 0005016067
ステップ0からT2をプラズマの電力密度を低下させて実行し、T2以降をもっと高い電力密度で実行するように、電力密度を低下させることが有利であることが、本発明者等によって見出された。SiCOH前駆物質の増大率を毎秒500から1500ミリグラム/分の間とし、ポロゲン前駆物質の増大率を毎秒100から600ミリグラム/分の間とすることが有利であることが、本発明者等によって見出された。
図11に、実施例3についてのTOFS−SIMS分析を示す。炭素についての信号対深さを80と標示する。SiOについての信号対深さを82と標示する。SiNについての信号対深さを84と標示する。炭素についての信号対深さ80は滑らかな炭素を示し、酸素の信号対深さ82には明らかな一時的低下も谷もない。このプロファイルは、脆弱なポイントのない界面構造を示し、これは実施例1および2において炭素のピークまたは酸素の一時的低下があった場合に当てはまるものであろう。実施例3の機械的試験によって、界面層の堅固さおよび多孔SiCOHの強力な接着が検証され、サンプルの測定接着力は3.5〜3.7J/m2であった。実施例1、2および実施例3の間の接着力増大ならびに接着力増大の大きさは、双方とも驚くべきものであり、予想外のものであった。
本開示に関して、当業者には、本発明の精神から逸脱することなく、本明細書に具体的に記載した実施形態を超えた本発明の他の変更が可能であることは明らかであろう。従って、かかる変更は、特許請求の範囲によってのみ限定される本発明の範囲内にあると考えられる。

Claims (13)

  1. 異なる層間の界面力を向上させるための方法であって、
    誘電または導電材料層を有する基板を用意するステップと、
    前記誘電または導電材料層上に、実質的に炭素を有しない酸化物層を形成するステップと、
    前記酸化物層上に傾斜遷移層を形成するステップであって、前記傾斜遷移層が、前記酸化物層との界面において実質的に炭素を有さず、多孔SiCOH層に向かって徐々に炭素が増大していく、前記ステップと、
    前記傾斜遷移層上に多孔SiCOH層を形成するステップであって、前記多孔SiCOH層がこの層全体に均質な組成を有する、前記ステップと、を含み、
    前記酸化物層を形成するステップ、傾斜遷移層を形成するステップ、および多孔SiCOH層を形成するステップが、ステップ間にプラズマを中断することなくプラズマ増強化学気相付着プロセスによって実行される、方法。
  2. 前記酸化物層において炭素濃度が3原子パーセント未満である、請求項1に記載の方法。
  3. 前記酸化物層において炭素濃度が0.1原子パーセント未満である、請求項1に記載の方法。
  4. 前記傾斜遷移層において炭素濃度にピークが存在しない、請求項1に記載の方法。
  5. 異なる層間の界面力を向上させるための方法であって、
    a)誘電または導電材料層を有する基板を用意するステップと、
    b)前記誘電または導電材料層上に、実質的に炭素を有しない酸化物層を形成するように、第1の時間期間にわたって酸素およびSiCOH前駆物質流をチャンバ内に導入するステップと、
    c)前記酸化物層上に傾斜遷移層を形成するように、前記酸素流を維持しながら、前記SiCOH前駆物質流を所定量まで徐々に増大させると共に、第2の時間期間にわたって前記チャンバ内にポロゲン前駆物質流を導入し所定量まで徐々に増大させるステップであって、前記傾斜遷移層が、前記酸化物層との界面において実質的に炭素を有さず、多孔SiCOH層に向かって徐々に炭素が増大していく、前記ステップと、
    d)前記傾斜遷移層上に多孔SiCOH層を形成するように、第3の時間期間にわたって前記チャンバ内の前記SiCOH前駆物質およびポロゲン前駆物質流を前記所定量に維持しながら、前記酸素流を所定値まで低減させるステップであって、前記多孔SiCOH層がこの層全体に均質な組成を有する、前記ステップと、
    を含む、方法。
  6. 前記酸化物層を形成するステップb)が電力密度を低くして実行され、後のステップがもっと高い電力密度で実行される、請求項に記載の方法。
  7. 前記ステップc)が、前記SiCOH前駆物質流を毎秒500から1500ミリグラム/分の間の傾斜率で徐々に増大させることを含み、更に、前記ポロゲン前駆物質流を毎秒100から600ミリグラム/分の間の傾斜率で徐々に増大させることを含む、請求項に記載の方法。
  8. 全てのステップが、Heキャリア・ガス流を100から10,000sccmまでの範囲で用いることを含み、前記ステップb)が、SiCOH前駆物質流を毎分100から1000ミリグラムの範囲で用い、前記ステップd)が、SiCOH前駆物質流を毎分500から5000ミリグラムの範囲で用い、ポロゲン前駆物質流を毎分500から5000ミリグラムの範囲で用いることを含む、請求項に記載の方法。
  9. 異なる層間の界面力を向上させるための方法であって、
    a)誘電または導電材料層を有する基板を用意するステップと、
    b)前記誘電または導電材料層上に、実質的に炭素を有しない酸化物層を形成するように、第1の時間期間にわたって酸素流およびSiCOH前駆物質流をチャンバ内に導入するステップであって、前記酸素およびSiCOH前駆物質流が、開始時間、終了時間、および前記第1の時間期間中の増大率について別個に調節可能である、前記ステップと、
    c)前記酸化物層上に傾斜遷移層を形成するように、前記酸素流を維持しながら、前記SiCOH前駆物質流を所定量まで徐々に増大させると共に、第2の時間期間にわたって前記チャンバ内にポロゲン前駆物質流を導入し所定量まで徐々に増大させるステップであって、前記傾斜遷移層が、前記酸化物層との界面において実質的に炭素を有さず、多孔SiCOH層に向かって徐々に炭素が増大していき、前記酸素、SiCOH前駆物質、およびポロゲン前駆物質流が、開始時間、終了時間、および前記第2の時間期間中の増大率について別個に調節可能である、前記ステップと、
    d)前記傾斜遷移層上に多孔SiCOH層を形成するように、第3の時間期間にわたって前記チャンバ内の前記SiCOH前駆物質およびポロゲン前駆物質流を前記所定量に維持しながら、前記酸素流を所定値まで低減させるステップであって、前記多孔SiCOH層がこの層全体に均質な組成を有し、前記酸素、SiCOH前駆物質、およびポロゲン前駆物質流が、開始時間、終了時間、および前記第3の時間期間中の増大率について別個に調節可能である、前記ステップと、
    を含む、方法。
  10. 前記酸化物層を形成するステップ、傾斜遷移層を形成するステップ、および多孔SiCOH層を形成するステップが、ステップ間にプラズマを中断することなくプラズマ増強化学気相付着プロセスによって実行される、請求項に記載の方法。
  11. 前記酸化物層を形成するステップb)が電力密度を低くして実行され、後のステップがもっと高い電力密度で実行される、請求項に記載の方法。
  12. 前記ステップc)が、前記SiCOH前駆物質流を毎秒500から1500ミリグラム/分の間の増大率で徐々に増大させることを含み、更に、前記ポロゲン前駆物質流を毎秒100から600ミリグラム/分の間の増大率で徐々に増大させることを含む、請求項に記載の方法。
  13. 全てのステップが、Heキャリア・ガス流を100から10,000sccmまでの範囲で用いることを含み、前記ステップb)が、SiCOH前駆物質流を毎分100から1000ミリグラムの範囲で用い、前記ステップd)が、SiCOH前駆物質流を毎分500から5000ミリグラムの範囲で用い、ポロゲン前駆物質流を毎分500から5000ミリグラムの範囲で用いることを含む、請求項に記載の方法。
JP2009554727A 2007-03-23 2008-03-20 多孔SiCOH膜を含む半導体デバイス構造およびその製造方法 Active JP5016067B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/690,248 US7615482B2 (en) 2007-03-23 2007-03-23 Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US11/690,248 2007-03-23
PCT/US2008/057600 WO2008118729A1 (en) 2007-03-23 2008-03-20 Structure and method for sicoh interfaces with increased mechanical strength

Publications (2)

Publication Number Publication Date
JP2010522433A JP2010522433A (ja) 2010-07-01
JP5016067B2 true JP5016067B2 (ja) 2012-09-05

Family

ID=39775025

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009554727A Active JP5016067B2 (ja) 2007-03-23 2008-03-20 多孔SiCOH膜を含む半導体デバイス構造およびその製造方法

Country Status (5)

Country Link
US (2) US7615482B2 (ja)
JP (1) JP5016067B2 (ja)
KR (1) KR20100006559A (ja)
TW (1) TW200849385A (ja)
WO (1) WO2008118729A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
JP5133852B2 (ja) * 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US9040390B2 (en) 2012-05-30 2015-05-26 International Business Machines Corporation Releasable buried layer for 3-D fabrication and methods of manufacturing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9139908B2 (en) * 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
CN104952837B (zh) * 2014-03-31 2019-01-08 中芯国际集成电路制造(上海)有限公司 互连介质层、其制作方法及包括其的互连层
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US20160215631A1 (en) * 2014-07-29 2016-07-28 General Electric Company Article comprising environmental barrier coating
US9312224B1 (en) * 2014-12-11 2016-04-12 International Business Machines Corporation Interconnect structure containing a porous low k interconnect dielectric/dielectric cap
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
EP3680029B1 (en) * 2019-01-09 2023-06-07 Europlasma nv A plasma polymerisation method for coating a substrate with a polymer
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
CN116190209B (zh) * 2023-02-27 2024-03-22 粤芯半导体技术股份有限公司 低介电常数介质层及金属互连结构的制作方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6933586B2 (en) * 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
JP2004207604A (ja) * 2002-12-26 2004-07-22 Toshiba Corp 半導体装置およびその製造方法
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
JP4917249B2 (ja) * 2004-02-03 2012-04-18 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP4938222B2 (ja) * 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
ATE424084T1 (de) * 2004-06-30 2009-03-15 Nokia Corp System und verfahren für das erzeugen einer liste der vorrichtungen in der körperlichen nähe eines endgeräts
US7456093B2 (en) * 2004-07-03 2008-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a semiconductor device delamination resistance
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
JP4747605B2 (ja) * 2005-02-22 2011-08-17 東洋製罐株式会社 プラズマcvd法による蒸着膜
DE102005024912A1 (de) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht

Also Published As

Publication number Publication date
US20100009161A1 (en) 2010-01-14
KR20100006559A (ko) 2010-01-19
US7615482B2 (en) 2009-11-10
US20080233366A1 (en) 2008-09-25
TW200849385A (en) 2008-12-16
WO2008118729A1 (en) 2008-10-02
JP2010522433A (ja) 2010-07-01

Similar Documents

Publication Publication Date Title
JP5016067B2 (ja) 多孔SiCOH膜を含む半導体デバイス構造およびその製造方法
US8445377B2 (en) Mechanically robust metal/low-k interconnects
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7102232B2 (en) Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7842518B2 (en) Method for fabricating semiconductor device
CN101006559B (zh) SiCOH膜的DUV激光退火及稳定性
US9040411B2 (en) Advanced low k cap film formation process for nano electronic devices
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
WO2010113375A1 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101028

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120409

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120515

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120607

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5016067

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150