CN1624883A - 掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法 - Google Patents

掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法 Download PDF

Info

Publication number
CN1624883A
CN1624883A CNA2004100909260A CN200410090926A CN1624883A CN 1624883 A CN1624883 A CN 1624883A CN A2004100909260 A CNA2004100909260 A CN A2004100909260A CN 200410090926 A CN200410090926 A CN 200410090926A CN 1624883 A CN1624883 A CN 1624883A
Authority
CN
China
Prior art keywords
silicon dioxide
layer
doping carbon
oxygen
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100909260A
Other languages
English (en)
Inventor
郑义荣
刘人豪
刘正雄
王英郎
林慧祈
邱建明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1624883A publication Critical patent/CN1624883A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种掺杂碳的二氧化硅膜的沉积方法,包括下列步骤:首先,提供一基底并将其置于一CVD腔室中,接着,通入氧气、氩气与三甲基硅烷至该腔室,其流量比大体为1∶1.5∶6,且腔室温度大体介于300- 400℃,形成此低介电常数介电层的沉积速率比过程中不通入氩气要快,且在氧气等离子体存在下介电常数大体为3的介电层其介电常数值仅会有些许增加。此外,可形成具有较高密度、硬度与张力强度的黑钻石层,并可使膜厚均一度在长时间内维持在2%以下,以降低清除微粒的操作次数并提供较低的氟碳等离子体蚀刻速率,改善镶嵌工艺中沟槽深度的控制能力,使形成的内连线具有较低漏电流与较高崩溃电压的特性。

Description

掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法
技术领域
本发明涉及一种集成电路与其它电子装置的制造方法,特别是涉及一种改善掺杂碳的二氧化硅低介电常数介电层特性的方法,而该介电层是由一等离子体增强型化学气相沉积法(PECVD)沉积形成。
背景技术
高效能电子装置的制造包括作为电子信道的金属内连线的形成与作为隔离内连线的一或更多介电层的沉积。典型的金属内连线包括充填如铜的金属的沟槽、介层窗或接触洞。一种常用于形成内连线的方法即为镶嵌式工艺,其步骤是首先在一介电层中蚀刻形成一开口,之后,于该开口沉积一金属,再进行一例如为化学机械研磨法(CMP)的平坦化步骤,以维持介电层顶端与金属共平面。
近年来,已有介电常数介于2.5-3的低介电常数材质例如掺杂碳或氟的二氧化硅作为替代介电常数大体为4的二氧化硅以改善介电层品质的研究。当线路尺寸缩小时,低介电常数材质是优选的可避免导电层间发生串扰现象的材料,但由于其多孔的性质,往往必须先经过致密化处理,方能避免水气吸收使有效介电常数值不致增加,此外,因CMP平坦化步骤很容易对低介电常数介电层造成刮伤或裂痕,如何增强介电层的硬度与张力也是一个重要课题,而增加低介电常数介电层的硬度与杨式系数与获得一较低漏电流以及较高崩溃电压有关。
另一重要课题即是沉积一低介电常数介电层的成本。例如AppliedMaterials(Santa Clara,Calif)提供的掺杂碳的二氧化硅(黑钻石),Novellus(San Iose,Calif)提供的CORAL,Allied Signal提供的HOSP或其它供货商所提供的材料均由PECVD法沉积形成,该方法尚包含有一有机硅的前驱物如三甲基硅烷以及一氧化剂如氧气、氧化氮(N2O)或臭氧等,掺杂碳的二氧化硅如有机硅玻璃(OSG)会包含有氢的成分,其中有机硅前驱物的成本比形成二氧化硅的硅烷为高,且黑钻石膜的沉积速率仅为利用SiH4与氧气形成二氧化硅速率的一半,因而减缓产量输出,因此,如何发展一套可利用较少量的高成本有机硅前驱物制作黑钻石膜或其类似物并提高沉积速率的方法,是令人期待的。
为顺应往后包含利用图案化光致抗蚀剂层(或称光阻层)蚀刻低介电常数介电层以形成一开口的工艺,必须能维持较佳的模厚均一度,虽在形成光致抗蚀剂层前,会于低介电常数介电层上沉积一或数层的中间层,然一平坦的介电层仍可形成一平坦的光致抗蚀剂层而在图案化步骤中提供一更大的工艺范围(process window)。在PECVD工艺腔室中于一芯片上沉积低介电常数介电层时,该介电材料也会沉积在腔室壁上,当历经数百次的PECVD工艺后,腔室壁上的沉积物会逐渐增加,而影响介电层于芯片上的膜均一性,例如经过大体1000片晶片的制作过程后,膜均一度会下降,其数值从接近1%至大体4%,因此,为避免影响产量输出,势必要在腔室内配合相应的清洁工作。综上所述,PECVD的改善方法必须能在长时间内维持良好的膜均一度且减少预防保养的次数。
如美国专利第0032292/2003号,公开了一种黑钻石膜是由一包含三甲基硅烷与氧气的工艺形成,如美国专利第6,372,632号,是利用一有机硅例如三甲基硅烷与一包括氩气、氧气、甲烷、氧化氮、氮气或氢气等的补偿气体(compensatory gas)沉积一低介电常数介电层,然而传统技术并未提供低介电常数介电层相关的工艺条件。
美国专利第6,312,793号也揭露了一种双相介电材质,其中一相是由SiCOH所组成,硅前驱物优选为一环状化合物如TMCTS,氧化剂与载运气体如氦气或氩气也利用在此沉积过程中。
此外,美国专利第6,541,397号也揭露了一种利用一个或多个有机硅化合物、一氧化气体、与一流量介于50-500sccm的惰性气体共同沉积一碳氧化硅层,然而该技术中并未教导氩气、氧气以及有机硅间流量的关系在改善膜品质与增加沉积速率上的重要性。
发明内容
有鉴于此,本发明的主要目的在于提供一种掺杂碳的二氧化硅层如黑钻石膜的沉积方法,以提供一比传统CVD法更快的沉积速率。
本发明的另一目的在于提供一种掺杂碳的二氧化硅层的沉积方法,藉由经历大量晶片工艺后仍维持良好的膜厚均一度,以减少CVD腔室内预防保养清洁操作的次数;并增加介电层硬度与张力值(杨氏系数);提供较佳的热与化学的稳定性,包括对氧灰化具有较高的阻抗以及在氟碳等离子体中能有较低的蚀刻速率。
为达上述目的,本发明提供了一种掺杂碳的二氧化硅层(膜)的沉积方法,包括下列步骤:提供一基底;通入氧气与二甲基硅烷、三甲基硅烷以及四甲基硅烷三者之一至该基底;通入惰性气体;产生一等离子体,在一特定反应条件下沉积一掺杂碳的二氧化硅膜;以及持续沉积该二氧化硅膜,待该膜到达一适当厚度。
本发明同时还提供了一种金属内连线的制造方法,包括下列步骤:提供一基底,其上形成有一蚀刻终止层;通入氧气与二甲基硅烷、三甲基硅烷以及四甲基硅烷三者之一至该蚀刻终止层与该基底;通入惰性气体(例如氩气等)至该蚀刻终止层与该基底;产生一等离子体,于一特定反应条件下沉积一掺杂碳的二氧化硅低介电常数介电层;形成一开口于该低介电常数介电层中并穿过该蚀刻终止层;以及充填该开口。
更具体地,本发明所提供的一种掺杂碳的二氧化硅层(膜)的沉积方法,包括下列步骤:首先,提供一基底并将其置于一CVD腔室中,加热该腔室至一适当温度并降低腔室压力至一适当范围;接着,在施加射频功率下,通入流量优选为1∶1.5∶6的氧气、氩气与三甲基硅烷至该腔室,以沉积形成一包含掺杂碳的二氧化硅的低介电常数介电层,当该介电层达到一适当厚度后,即停止等离子体步骤。在PECVD工艺中,通入足够流量的氩气至该腔室内,以增加掺杂碳的二氧化硅膜的沉积速率并提供一轰炸效应以致密、硬化该介电层与改善膜的张力强度,过程中应避免过高流量的氩气,以防沉积膜的介电常数增加。此外,掺杂碳的二氧化硅膜是在一足够高温的状态下沉积,遂可省去沉积后回火的步骤。另外该基底可就此移除或在CVD工艺腔室中继续沉积另一介电层例如一覆盖层或一抗反射涂层于所述掺杂碳的二氧化硅层上。
在本发明的一单或双镶嵌工艺的实施例中,一低介电常数介电层是沉积于一蚀刻终止层上,根据双镶嵌工艺步骤,首先于一低介电常数介电层上沉积一覆盖层或一抗反射涂层,之后,藉一第一光致抗蚀剂层图案化该覆盖层或该抗反射涂层并得到一穿过介电层的介层窗开口,接着,移除该第一光致抗蚀剂层后,覆盖一第二光致抗蚀剂层,继续图案化该覆盖层或该抗反射涂层并在介电层中的该介层窗上方形成一沟槽,尔后,移除该第二光致抗蚀剂层。待蚀刻移除介层窗底部的蚀刻终止层后,沉积一顺应性的扩散阻障层及充填一金属层于该介层窗与该沟槽内,最后,进行一平坦化步骤,以完成该镶嵌工艺。经改善物理及机械性质后的低介电常数介电层,其金属内连线具有较低的漏电流与较高的崩溃电压。
综上所述,利用本发明的方法,形成低介电常数介电层的沉积速率要快,且在氧气等离子体存在下介电常数大体为3的介电层其介电常数值仅会有些许增加。此外,可形成具有较高密度、硬度与张力强度的黑钻石层。并可使膜厚均一度在一长时间内维持在2%以下,以降低微粒清除操作次数并提供较低的氟碳等离子体蚀刻速率,来改善镶嵌工艺中沟槽深度的控制能力,以使最后形成的内连线具有较低漏电流与较高崩溃电压的特性。
附图说明
图1显示预防保养清洁操作间,随晶片制作数增加掺杂碳的二氧化硅层的膜均一度下降的情形。
图2显示本发明的PECVD工艺,当氩气或氦气加入氧气与三甲基硅烷时,黑钻石膜的沉积速率增加的情形。
图3显示本发明的PECVD,黑钻石层的介电常数值随氩气流量变化的情形。
图4显示藉通入氩气形成的黑钻石介电层替代传统黑钻石介电层时,装置中漏电流下降的情形。
图5为本发明的一实施例,于一基底上沉积一掺杂碳的二氧化硅层的剖面示意图。
图6-图8为本发明的一实施例,双镶嵌工艺等离子体蚀刻步骤的剖面示意图。
图9为本发明的一实施例,于一低介电常数介电层中的开口沉积填入一扩散阻障层与一金属层后,并施以平坦化处理的剖面示意图。
符号说明:
50基底    51导电层    52蚀刻终止层    53 PECVD法
54低介电常数介电层    55覆盖层        56第一光致抗蚀剂层
57开口                58蚀刻步骤      59、62氧气灰化步骤
60第二光致抗蚀剂层    61沟槽      63扩散阻障层    64金属层
具体实施方式
为让本发明的上述目的、特征及优点能更明显易懂,下文特列举一优选实施例,并配合所附图式,作详细说明如下:
本发明是有关于一种掺杂碳的二氧化硅层如一低介电常数层的沉积方法,以隔绝一半导体装置中的金属内连线。本发明藉实施例揭露的图式并非限定本发明的范围,此外,这些图式不是必须按照实际比例绘制且各组件的相对尺寸会与真实装置有所不同。图5-图9揭露的镶嵌工艺中,是利用一层间介电层制作一金属内连线的步骤,熟悉本领域的技术人员可依照本发明的方法,于基底上的金属导线之间以间隙充填操作(gap fill operation,未图示)沉积一掺杂碳的二氧化硅层,形成一金属层间介电层。
本发明的沉积方法,可于任何化学气相沉积(CCD)工艺腔室中进行,而形成一掺杂碳的二氧化硅层,当沉积步骤于Applied Materials提供的DxZTM或Producer CVD腔室中进行,产物为如一黑钻石膜,而CORAL膜是由Novellus CVD腔室制作,HOSP膜是由Allied Signal工艺制作。如之前所述,不同掺杂碳的二氧化硅膜商品名是依据CVD工艺腔室的型式与所使用的沉积方式而定,各掺杂碳的二氧化硅膜的最终组成会略有不同,但基本上均含碳、氢、硅与氧,本发明的优选实施例为,当CVD腔室其改善低介电常数介电层物理与机械性质的关键条件与沉积掺杂碳的二氧化硅膜的工艺条件相同时,即为一可选用的CVD腔室。
请参阅图1所示,可发现在一次预防保养(PM)清洁后,随着CVD工艺腔室中掺杂碳的二氧化硅沉积次数的增加,该低介电常数介电层其膜厚均一度会下降,数值从大体1%增加至4%或更多,也就是说,在一预防保养清洁操作后,一基底上沉积的掺杂碳的二氧化硅层的3σ膜厚标准差远低于在腔室中经过数百次晶片制作而无清洁步骤的膜厚标准差,此即表示,沉积在CVD腔壁的低介电常数材质其厚度会随时间而增加,且会进一步影响相邻晶片彼此之间的沉积均一度,当均一度值到达3-4%时,表示须进行一预防保养的清洁,然而,在增加预防保养清洁次数的同时,会降低产出且提高生产线成本。
在一优选实施例中,利用包括二甲基硅烷、三甲基硅烷或四甲基硅烷的硅源气体与如氧气的氧源气体沉积一掺杂碳的二氧化硅层。首先,置入一芯片于一CVD工艺腔室的夹盘(chuck)(未显示)上,该夹盘可当作一电极使用,腔室藉真空泵抽真空后,加热基底以诱发沉积工艺。当温度与压力皆稳定在一适当范围时,所述来源气体即通过该腔室顶端的洞进入该工艺腔室内,例如,如传统技术所述,先藉由施加一射频功率产生一等离子体,当选择的来源气体为三甲基硅烷与氧气时,续会形成包括(CH3)3Si+、(CH3)3Si+3或氧自由基的活性物种,而沉积步骤的第一步即是吸收这些活性物种至基底上。在部分例子中,基底上的一活性硅物种可能与一活性氧物种因距离过远而无法反应,遂给予这些活性物种在基底上一迁移时间以反应形成一低介电常数材质的分子是必要的。此处低介电常数介电层的厚度大体为数千埃,此外,必须有效提高沉积速率以增加PECVD工艺腔室的晶片输出量。
一般来说,化学反应的速率会随反应物浓度的增加而增加,但本利用PECVD沉积掺杂碳的二氧化硅层的实施例发现以惰性气体稀释来源气体的浓度后,会加快沉积速率,继续以图2加以说明,图中的曲线20表示利用传统方法形成掺杂碳的二氧化硅层的沉积速率,其中氧气流量为100sccm,三甲基硅烷的流量为600sccm,腔室温度为摄氏350度,射频功率为600瓦特,腔室压力为333.2帕斯卡(2.5托),而上述氧气与三甲基硅烷产生5394埃/分钟(A点)的沉积速率。曲线21的工艺条件与曲线20相似,差别仅在于此处通入流量为150sccm的氦气,结果使得速率上升至5787埃/分钟,若换成通入150sccm氩气的曲线22,则其沉积速率增加至6440埃/分钟(B点),且曲线22的速率随温度上升至摄氏425度下降至C点。在沉积速率增快近20%的同时,可减少三甲基硅烷于每一晶片中将近20%的用量,大幅降低成本。
本发明的主要特征是通入足够量优选为氩气的惰性气体至CVD工艺腔室中氧气、二甲基硅烷、三甲基硅烷或四甲基硅烷的来源气体,以达到增加沉积速率与掺杂碳的二氧化硅膜品质的效果。此外,膜的密度、硬度、张力强度与厚度均一度均会增加。氧气、氩气与二甲基硅烷、三甲基硅烷或四甲基硅烷的流量比优选大体为1∶1.5∶6,以产生介电常数值大体为3的掺杂碳的二氧化硅膜且可得到更佳的膜品质,其中氩气流量的大小为影响介电常数值的决定因素。
请参阅图3所示,其显示在PECVD沉积一黑钻石膜的过程中,氩气流量确实影响沉积层的介电常数值。图中呈现的数据是在如下的工艺条件下产生的:其中氧气流量为100sccm,三甲基硅烷的流量为600sccm,腔室温度为摄氏350度,射频功率为600瓦特以及腔室压力为333.2帕斯卡。在上述条件下,氩气流量须维持在50-300sccm的范围,方能使介电常数值达到3-3.2之间。若氩气流量过大,介电常数会增加至一无法接受的范围,而若氩气流量过小,则膜将变得多孔且不致密,另若提供一稳定的等离子体,有助于产生更均一的膜厚。由于降低温度可增加沉积速率且会形成一多孔膜,所以传统技术多在低于摄氏300度下沉积掺杂碳的二氧化硅,使产生一介电常数3以下的多孔膜。然而,许多工艺会包括有例如温度必须达摄氏300度以上的回火步骤与致密化膜的等离子体处理程序,本发明中,因操作温度的范围介于摄氏300-400度,遂不需对掺杂碳的二氧化硅层进行任何后处理工艺,即能在单一步骤中得到一高密度与具有合理介电常数值的介电层。
发明人认为等离子体中高能量氩分子与离子的溅镀为一关键因素,其沿着基底表面加速活性硅物种与活性氧物种,而增加了两者的反应速率。另一好处则是,由于维持大量晶片的膜厚均一度在1-2.5%的范围,在CVD腔室中,预防保养清洁操作的次数从每1000片清洁一次下降至每1500片清洁一次,例如,传统技术中未通入氩气时,沉积1000片晶片的最后一片其黑钻石膜的均一度为2.9%,而本发明沉积相同数量的晶片,其最后一片晶片上的膜均一度则为1.5%。这表示一较快的反应速率使每一晶片在CVD腔室停留的时间减少,减缓黑钻石沉积在腔壁的速率。
表1显示沉积工艺中通入的惰性气体不会影响黑钻石膜的组成。表1为X-射线光电子光谱(XPS)0度与60度入射角的数据,该项分析仅包含碳、硅与氧的数值而无氢的数值。通入流量150sccm的惰性气体所形成的层膜例如通入氩气沉积形成的黑钻石层(Ar-BD)与通入氦气沉积形成的黑钻石层(He-BD)均在如腔室温度摄氏350度、射频功率600瓦特、腔室压力333.2帕斯卡、氧气流量100sccm与三甲基硅烷600sccm的工艺条件下反应,若忽略实验误差造成的差异,可看出沉积工艺通入惰性气体后,三种黑钻石材料的组成如氧、碳与硅的含量几乎没有变化。
表1黑钻石层的X-射线光电子光谱数据
材料            入射角0度        入射角60度
  氧   碳   硅   氧   碳   硅
  氩气-黑钻石   33.1   31.8   35.1   33.4   34.0   32.6
  氦气-黑钻石   34.7   30.9   34.4   34.3   33.1   32.7
  黑钻石   33.6   31.6   34.8   33.1   33.2   33.8
在沉积掺杂碳的二氧化硅的过程中通入氩气,另可产生一致密化低介电常数介电层的轰炸效应,以增加介电层的硬度与以杨氏系数为指标的张力强度,例如,表1中黑钻石膜的密度为1.55毫克/立方厘米,而氩气-黑钻石膜的密度会增加至1.63毫克/立方厘米。表2中在摄氏350度或425度的沉积温度下,氩气-黑钻石膜的硬度与杨氏系数均比传统黑钻石膜高。
表2黑钻石层的机械性质
材料     摄氏350度沉积     摄氏425度沉积
硬度(GPa) 杨氏系数(Ksi) 硬度(GPa) 杨氏系数(Ksi)
氩气-黑钻石     2.42     16.8     3.12     19.4
黑钻石     1.76     11.6     2.29     13.7
本发明掺杂碳的二氧化硅层的沉积方法的其它优点,可由图5-图9作进一步说明,这些图示描述了沉积有一低介电常数介电层的基底,制作金属内连线的过程。
请参阅图5所示,首先,提供一基底50,该基底50可由硅、绝缘层上覆硅、锗化硅或其它传统常用的组成所构成,基底50包括一例如为铜、铝、钨或铝铜合金的导电层51,该导电层51经平坦化后与基底50的顶部形成共平面,另一薄的扩散阻障层(未显示)包围导电层51的侧边与底部,此外,为简化图示,图中未显示典型上基底50所包含的主动与被动组件。之后,于基底50上沉积一蚀刻终止层52,蚀刻终止层52是由碳化硅、氮化硅或氮氧化硅所构成,以在随后的工艺步骤中保护导电层51。
接着,利用PECVD法53沉积一掺杂碳的二氧化硅材质,以于蚀刻终止层52上形成一低介电常数介电层54。低介电常数介电层54的厚度大体介于4000-8000埃,且该介电层是由Applied Materials或Novellus所提供的CVD设备内的工艺腔室制作,该CVD设备包括有多个工艺腔室与一腔室间的传输系统,以避免晶片曝露于空气之中。
PECVD法53的工艺条件如下:氧气流量为50-300sccm,二甲基硅烷、三甲基硅烷或四甲基硅烷流量为400-800sccm,氩气流量为50-300sccm,腔室温度大体介于摄氏300-400度,腔室压力为199.9-533.2帕斯卡(1.5-4托)以及射频功率为600-800瓦特;其中优选的工艺条件为:氧气流量100sccm,三甲基硅烷流量为600sccm,氩气流量150sccm,腔室温度摄氏350度,腔室压力333.2帕斯卡以及射频功率为600瓦特。低介电常数介电层54是以6400埃/分钟的沉积速率沉积,该沉积速率可使晶片的输出量高于一般没有通入氩气的PECVD工艺。此外,可以氦气、氖气或氪气代替氩气作为PECVD法53的惰性气体。
请参阅图6所示,该CVD工艺腔室中的温度与压力回复一适当的范围,而包含有蚀刻终止层52与低介电常数介电层54的基底50续可移至下一个工艺腔室,之后,于介电层54上沉积一覆盖层55,覆盖层55的厚度介于300-800埃,而沉积覆盖层55的步骤优选是发生在形成介电层54的同一CVD腔室内,覆盖层55是由氮化硅或碳化硅所构成,以在形成金属内连线的过程中保护介电层54。当覆盖层55为氮氧化硅时,会具有抗反射涂层(ARC)的功能,可增加后续图案化步骤的工艺宽度(process latitude)。覆盖层55可作为有机或无机的抗反射涂层,其中有机抗反射涂层是由一设置于CVD设备外的分离涂布站以旋转涂布(spin coating)与烘烤的程序形成,而无机抗反射涂层则可于CVD设备的工艺腔室内沉积形成。
接着,于覆盖层55上覆盖一第一光致抗蚀剂层56,之后,以传统方法图案化光致抗蚀剂层56,以形成一开口57,一般来说,开口57是一位于导电层51上方的介层窗(via),然而在单镶嵌工艺中,开口57是作为一接触洞或沟槽,其它种类的开口(未图示)可依不同设计形成于第一光致抗蚀剂层56中。开口57继续藉由一传统的等离子体蚀刻步骤穿过覆盖层55,之后,利用一氟碳等离子体的蚀刻步骤58,使开口57穿过介电层54。
请参阅图7所示,蚀刻步骤58终止于蚀刻终止层52上,继续实施一氧气灰化步骤,以移除第一光致抗蚀剂层56,而由于形成于介层窗侧壁的介电层54曝露于氧气等离子体下,遂其介电常数会因掺杂碳的二氧化硅层中的部分碳与氢原子被等离子体移除而有些许增加,然该掺杂碳的二氧化硅层的介电常数增加值虽为3.1至3.3,但仍远低于工艺中不通入氩气或其它惰性气体而沉积形成的掺杂碳的二氧化硅层其3.1至3.6的改变。若覆盖层55为有机ARC,则覆盖层55会一并被氧气等离子体移除。单镶嵌工艺中,蚀刻终止层52曝露在氧气等离子体的部分也会移除,且随后沉积一扩散阻障层与一金属层至开口57内。
请参阅图8所示,继续进行一双镶嵌工艺,以形成一金属内连线,首先于覆盖层55上形成一第二光致抗蚀剂层60,此时若有机ARC已于先前的灰化步骤中移除,则必须在覆盖第二光致抗蚀剂层60前,先涂布并烘烤新的ARC材料以形成另一覆盖层55。工艺中,可于第二光致抗蚀剂层60覆盖前,在开口57中填入一惰性栓塞(plug)(未显示),以使第二光致抗蚀剂层60的膜厚更均一并在往后的图案化步骤中增加工艺宽度。接着,利用传统方法图案化第二光致抗蚀剂层60,以于介层窗57上方形成一沟槽61,本实施例的沟槽61是形成于单一介层窗57上,然而也可有沟槽形成于二个或多个介层窗上的其它设计(未显示)。
增强硬度后的介电层54,在以下利用等离子体蚀刻沟槽61进入介电层54的步骤中,可显现出其价值,例如,利用一氟碳等离子体对如低介电常数介电层54的黑钻石层进行沟槽蚀刻,其蚀刻速率会从传统黑钻石膜的2780埃/分钟下降至1780埃/分钟,因此,利用本发明方法沉积的氩气-黑钻石膜可在低介电常数介电层54中获得一较佳控制沟槽61深度的效果,由于沟槽深度差异减少,遂使后续沉积的金属层能有更加一致的厚度,总结来说,极少的平板电阻差异,理当可制作出一高效能的金属内连线。
当沟槽61在介电层54中蚀刻出一适当深度后,以另一氧气灰化步骤62移除第二光致抗蚀剂层60与有机覆盖层55,同样的,介电层54中的部分碳与氢原子,也会被氧自由基移除。尽管传统黑钻石膜的介电常数会从3.1增加至3.6,而本发明沉积的黑钻石膜只会从3.1增加至3.3。接着,优选如传统技术所述,利用一等离子体蚀刻工艺移除蚀刻终止层52。
若覆盖层55为一无机层,则覆盖层55可留于介电层54上,以作未来平坦化步骤的终止层功用。
请参阅图9所示,于沟槽61与介层窗57的侧壁与底部沉积一扩散阻障层63,之后,于沟槽61与介层窗57中填入一金属层64并平坦化金属层64,使金属层64与介电层54顶部形成一共平面,且在化学机械研磨的平坦化过程中,会移除无机覆盖层55。另硬度增加后的介电层54,在平坦化过程中,其表面的刮伤与碟形化(dishing)现象会明显减少。
可作为层间介电层(ILD)或金属层间电层(IMD)的掺杂碳的二氧化硅层的另一优点即是,具有一较低的漏电流与一较高的崩溃电压。图4显示在施加不同电压下,包括传统黑钻石层(曲线40)、通入氦气形成的黑钻石层(曲线41)与通入氩气形成的黑钻石层(曲线42)三者漏电流的表现,结果可看出,施加大多数装置典型操作范围的1-6伏特电压时,拥有氩气-黑钻石层的装置具最低的漏电流。
虽然本发明已以优选实施例揭露如上,然其并非用以限定本发明,任何熟悉本领域的技术人员,在不脱离本发明的精神和范围内,当可作更动与润饰,因此本发明的保护范围应以权利要求为准。

Claims (12)

1.一种掺杂碳的二氧化硅膜的沉积方法,包括下列步骤:
提供一基底;
通入氧气与二甲基硅烷、三甲基硅烷以及四甲基硅烷三者之一至该基底;
通入惰性气体;
产生一等离子体,在一特定反应条件下沉积一掺杂碳的二氧化硅膜;以及
持续沉积该二氧化硅膜,待该膜到达一适当厚度。
2.如权利要求1所述的掺杂碳的二氧化硅膜的沉积方法,其中所述掺杂碳的二氧化硅膜为黑钻石、CORAL或HOSP。
3.如权利要求1所述的掺杂碳的二氧化硅膜的沉积方法,其中所述通入的气体包括氧气、三甲基硅烷与氩气。
4.如权利要求3所述的掺杂碳的二氧化硅膜的沉积方法,其中所述氧气的流量介于50-300sccm,三甲基硅烷的流量介于400-800sccm,氩气的流量介于50-300sccm。
5.如权利要求1所述的掺杂碳的二氧化硅膜的沉积方法,其中所述特定反应条件是指温度介于摄氏300-400度,等离子体的射频功率介于600-800瓦特。
6.如权利要求1所述的掺杂碳的二氧化硅膜的沉积方法,其中所述氧气、惰性气体与二甲基硅烷、三甲基硅烷或四甲基硅烷的流量比为1∶1.5∶6。
7.如权利要求1所述的掺杂碳的二氧化硅膜的沉积方法,其中所述掺杂碳的二氧化硅膜的沉积速率介于5000-8000埃/分钟。
8.一种金属内连线的制造方法,包括下列步骤:
提供一基底,其上形成有一蚀刻终止层;
通入氧气与二甲基硅烷、三甲基硅烷以及四甲基硅烷三者之一至该蚀刻终止层与该基底;
通入氩气至该蚀刻终止层与该基底;
产生一等离子体,于一特定反应条件下沉积一掺杂碳的二氧化硅低介电常数介电层;
形成一开口于该低介电常数介电层中并穿过该蚀刻终止层;以及
充填该开口。
9.如权利要求8所述的金属内连线的制造方法,其中所述低介电常数介电层为黑钻石、CORAL或HOSP。
10.如权利要求8所述的金属内连线的制造方法,其中所述氧气的流量介于50-300sccm,二甲基硅烷、三甲基硅烷或四甲基硅烷的流量介于400-800sccm,氩气的流量介于50-300sccm,此外,所述特定反应条件是指腔室温度介于摄氏300-400度,射频功率介于600-800瓦特。
11.如权利要求8所述的金属内连线的制造方法,其中所述氧气、氩气与二甲基硅烷、三甲基硅烷或四甲基硅烷的流量比为1∶1.5∶6。
12.如权利要求8所述的金属内连线的制造方法,其中所述低介电常数介电层的沉积速率介于5000-8000埃/分钟。
CNA2004100909260A 2003-12-04 2004-11-10 掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法 Pending CN1624883A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/728,215 US20050124151A1 (en) 2003-12-04 2003-12-04 Novel method to deposit carbon doped SiO2 films with improved film quality
US10/728,215 2003-12-04

Publications (1)

Publication Number Publication Date
CN1624883A true CN1624883A (zh) 2005-06-08

Family

ID=34633657

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100909260A Pending CN1624883A (zh) 2003-12-04 2004-11-10 掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法

Country Status (3)

Country Link
US (1) US20050124151A1 (zh)
CN (1) CN1624883A (zh)
TW (1) TWI244507B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101853807A (zh) * 2009-03-27 2010-10-06 朗姆研究公司 用于导线间电介质材料除去的方法
CN101442004B (zh) * 2007-11-23 2011-01-12 中芯国际集成电路制造(上海)有限公司 淀积含碳的薄膜用于形成掺杂区域间隔层的方法
CN102906859A (zh) * 2010-05-24 2013-01-30 应用材料公司 对用于光刻掩模应用的富含硼的膜的设计
CN103311279A (zh) * 2012-03-14 2013-09-18 英飞凌科技股份有限公司 半导体装置及用于制造半导体装置的方法
CN102005409B (zh) * 2009-08-31 2014-01-08 中芯国际集成电路制造(上海)有限公司 双镶嵌结构制作方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7248062B1 (en) 2002-11-04 2007-07-24 Kla-Tencor Technologies Corp. Contactless charge measurement of product wafers and control of corona generation and deposition
US20070109003A1 (en) * 2005-08-19 2007-05-17 Kla-Tencor Technologies Corp. Test Pads, Methods and Systems for Measuring Properties of a Wafer
JP5326202B2 (ja) * 2006-11-24 2013-10-30 富士通株式会社 半導体装置及びその製造方法
US7741663B2 (en) * 2008-10-24 2010-06-22 Globalfoundries Inc. Air gap spacer formation
US20100109155A1 (en) * 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
US10504821B2 (en) * 2016-01-29 2019-12-10 United Microelectronics Corp. Through-silicon via structure
US10854455B2 (en) * 2016-11-21 2020-12-01 Marvell Asia Pte, Ltd. Methods and apparatus for fabricating IC chips with tilted patterning
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19838664C2 (de) * 1998-08-19 2000-10-12 Mannesmann Ag Vorrichtung zum Speichern eines gasförmigen Mediums und Verfahren zum Messen des Füllstands eines gasförmigen Mediums in einer Speichervorrichtung
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6372632B1 (en) * 2000-01-24 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101442004B (zh) * 2007-11-23 2011-01-12 中芯国际集成电路制造(上海)有限公司 淀积含碳的薄膜用于形成掺杂区域间隔层的方法
CN101853807A (zh) * 2009-03-27 2010-10-06 朗姆研究公司 用于导线间电介质材料除去的方法
CN101853807B (zh) * 2009-03-27 2014-03-12 朗姆研究公司 用于导线间电介质材料除去的方法
CN102005409B (zh) * 2009-08-31 2014-01-08 中芯国际集成电路制造(上海)有限公司 双镶嵌结构制作方法
CN102906859A (zh) * 2010-05-24 2013-01-30 应用材料公司 对用于光刻掩模应用的富含硼的膜的设计
CN103311279A (zh) * 2012-03-14 2013-09-18 英飞凌科技股份有限公司 半导体装置及用于制造半导体装置的方法
US9306010B2 (en) 2012-03-14 2016-04-05 Infineon Technologies Ag Semiconductor arrangement
US9698247B2 (en) 2012-03-14 2017-07-04 Infineon Technologies Ag Method of producing a semiconductor arrangement

Also Published As

Publication number Publication date
TW200519223A (en) 2005-06-16
US20050124151A1 (en) 2005-06-09
TWI244507B (en) 2005-12-01

Similar Documents

Publication Publication Date Title
KR102611346B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
KR100602469B1 (ko) 저 유전 필름을 위한 기계적 강화제 첨가제
CN100431110C (zh) 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
US8557712B1 (en) PECVD flowable dielectric gap fill
US6716770B2 (en) Low dielectric constant material and method of processing by CVD
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
KR100328144B1 (ko) 고밀도 플라즈마-화학적 증착 기법을 사용하여 낮은 유전상수를 갖는 박막을 형성하는 방법
KR20220085847A (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
US20020052119A1 (en) In-situ flowing bpsg gap fill process using hdp
KR101144535B1 (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
CN100517640C (zh) 半导体器件的制造方法和半导体器件
CN1624883A (zh) 掺杂碳的二氧化硅膜的沉积方法与金属内连线的制造方法
US7074489B2 (en) Low dielectric constant material and method of processing by CVD
US8993440B2 (en) Method of manufacturing a semiconductor device with processes carried out without atmospheric exposure
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
KR20220044839A (ko) 규소 화합물 및 이를 사용한 필름의 증착 방법
JP2005045058A (ja) 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US20160013049A1 (en) Enhancing uv compatibility of low k barrier film
KR20230093286A (ko) 알콕시디실록산 및 이로부터 제조된 치밀한 오가노실리카 필름
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
KR20240009497A (ko) 높은 탄성 계수를 갖는 필름의 증착을 위한 신규한 전구체
KR20210082265A (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication