CN1312727C - 改进蚀刻率均一性的设备和方法 - Google Patents

改进蚀刻率均一性的设备和方法 Download PDF

Info

Publication number
CN1312727C
CN1312727C CNB028224922A CN02822492A CN1312727C CN 1312727 C CN1312727 C CN 1312727C CN B028224922 A CNB028224922 A CN B028224922A CN 02822492 A CN02822492 A CN 02822492A CN 1312727 C CN1312727 C CN 1312727C
Authority
CN
China
Prior art keywords
electrode
edge
wafer
ring
shield
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028224922A
Other languages
English (en)
Other versions
CN1585997A (zh
Inventor
R·丁萨
B·凯德科达扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1585997A publication Critical patent/CN1585997A/zh
Application granted granted Critical
Publication of CN1312727C publication Critical patent/CN1312727C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明揭示一种具有腔室的蚀刻设备,所述腔室中封装了一第一电极、一第二电极、若干定位环、一集中环及一护罩。所述第一电极耦合到一固定电位源。所述第二电极耦合到一双频射频电源。所述定位环配置在所述第一电极与所述第二电极之间。所述腔室由一耦合到所述电位源的电导材料形成。所述集中环充分环围第二电极,并且使该第二电极绝缘。所述护罩充分环围集中环。所述第二电极边缘与所述护罩边缘间的距离至少小于该第二电极边缘与所述第一电极边缘间的距离。所述护罩由一耦合到所述固定电位源的电导材料形成。

Description

改进蚀刻率均一性的设备和方法 相关申请案的交互参照
本申请案主张2001年11月13日以相同发明者名义申请的美国临时专利申请案第60/338,034号的权利。
技术领域
本发明是关于基板支撑。更具体而言,本发明是关于一种在等离子处理过程中在基板上方达到均一等离子分布的方法和设备。
背景技术
一般等离子蚀刻设备包括一反应器,在该反应器中有一反应气体流动通过的腔室。在此腔室内,气体通常由射频能量离子化成等离子体。该等离子气体的高反应性离子可与诸如位于制成集成电路的半导体晶片表面的聚合物光罩的材料产生反应。蚀刻前,晶片放置在腔室内且由夹盘或固持器固持在适当的位置,该夹盘或固持器将晶片的一个顶面暴露在等离子气体中。此项技术中已知的夹盘有多种类型。夹盘提供一等温表面且作为晶片的散热器。在一种类型的夹盘中,以机械夹紧方法将半导体晶片固持于适当位置以便蚀刻。在另一类型的夹盘中,由夹盘及晶片间的电场产生的静电力将半导体晶片固持于适当的位置。本发明对此两种类型的夹盘皆适用。
在半导体的处理过程中,每一方法中晶片的蚀刻率或沉淀率的均一性直接影响装置的成品率。这已经成为处理反应器的一个主要合格标准且因此被认为是其设计与发展过程中的一个非常重要的参数。随着晶片直径尺寸的每一次增加,要确保由越来越大的晶片制成的每一批集成电路的均一性变得更困难了。例如,随着晶片的尺寸从200mm增加到300mm,以及每一个晶片的尺寸变小,边缘的互斥区域缩小到(例如)2mm。因此,从晶片的边缘起算,一直维持均一蚀刻率、轮廓及临界尺寸直到2mm,是非常重要的。
在等离子蚀刻反应器中,蚀刻参数的均一性(蚀刻率、轮廓、临界尺寸(CD)等)受多个参数影响。维持均一等离子体放电从而维持晶片上方的等离子化学性质对改进均一性是非常关键的。借由控制通过喷射头的气流流动注入量、修改喷射头设计、以及在晶片周围放置边缘环,已经设想出许多改进晶片均一性的尝试。
具有不同尺寸电极的电容耦合型蚀刻反应器的问题是缺乏均一射频耦合,尤其在晶片边缘周围。图1描述一种常见的电容耦合型等离子处理腔室100,其代表了通常运用于蚀刻基板的示范性等离子处理腔室类型。现在请参阅图1,夹盘102,代表一工件固持器,蚀刻期间其上安置诸如晶片104的基板。可利用任何适当的夹箝技术实施夹盘102,例如,静电式、机械式、夹具式、真空式等等。蚀刻期间,夹盘102通常具有同时在蚀刻期间由一双频电源106提供的双频射频频率(一低频及一高频),例如,2Mhz及27Mhz。
上部电极108位于晶片104上方。上部电极108接地。图1描述一种上部电极108的表面大于夹盘102及晶片104表面的蚀刻反应器。蚀刻期间,等离子体110是由经一输气管线112供应的蚀刻剂气源所形成,并经由一排气管线114被吸出。
定位环116可置于上部电极108与诸如图1夹盘102的底部电极间。一般说来,定位环116协助将蚀刻等离子体110限制在晶片104上方区域,以便改进处理控制并确保可重复性。
当将射频能量从射频电源106供应至夹盘102时,于晶片104上方形成等电位场线(equipotential field line)。该等电位场线是穿越位于晶片104及等离子体110间的等离子护层的电场线。在等离子处理过程中,正离子加速通过等电位场线撞击晶片104表面,藉此达到所需的蚀刻效果,例如,改进蚀刻定向性。由于上部电极108和夹盘102的几何结构,整个晶片表面的场线可能不均一,并且在晶片104的边缘可能会发生显著的变化。因此,通常会提供集中环118以改进整个晶片表面处理的均一性。参考图1,图示晶片104置于集中环118内,该集中环118可由适当绝缘体材料制成,例如陶瓷、石英、塑料或其类似物。因此,集中环118的存在允许等电位场线大体均一地分布于晶片104的整个表面上方。
一电导护罩120充分环围集中环118。配置所述电导护罩120,使其在等离子处理腔室内充分接地。所述护罩120避免在集中环118外部出现不需要的等电位场线。
因为上部电极108比底部电极102大,所以在晶片104与顶端电极108间的射频电流行径路径在晶片104的边缘会特别增加。因此,晶片104的蚀刻率在晶片104的外部边缘下降,便得到一较不均匀的蚀刻晶片。
因此,需要一种能改进晶片上方等离子体放电均一性的方法及设备。本发明的基本目的就是满足这些需要,以及提供进一步、相关的益处。
发明内容
本发明揭示一种具有腔室的蚀刻设备,所述腔室中封装一第一电极、一第二电极、若干定位环、一集中环及一护罩。该第一电极耦合到一固定电位源。该第二电极耦合到一双频射频电源。所述定位环置于该第一电极与该第二电极之间。该腔室由一耦合到电源的电导材料形成。该集中环充分包围所述第二电极,并且使所述第二电极电绝缘。所述护罩充分环围该集中环。该第二电极的边缘与护罩的边缘间的距离至少小于该第二电极的边缘与该第一电极边缘间的距离。该护罩由一耦合到该固定电位源的电导材料形成。
附图说明
并入本说明书且构成说明书一部份的随附图式描述本发明的一个或更多个具体实施例,且与详细说明一起用于阐明本发明的原理及实施。
在图式中
图1是根据现有技术所得的蚀刻设备的示意图。
图2是根据本发明的一个特定实施例所得的蚀刻设备的示意图。
图3是根据本发明另一特定实施例所得的蚀刻设备的示意图。
图4比较根据现有技术所取得的蚀刻率与根据本发明实施例所取得的蚀刻率所得的曲线图。
图5是用于描述根据本发明一个实施例改进蚀刻率均一性的方法的流程图。
具体实施方式
此处描述的本发明实施例是以在双频等离子蚀刻反应器中的蚀刻率均一性改良为背景。所属领域的技术人员将了解,以下关于本发明的详细说明只是说明性的,并不表示任何方式的限制。受益于本揭示的技术人员将容易地想到本发明的其它实施例。现在将详细参考如附图所示的本发明实施。整份附图及下列详细说明中将使用相同参考符号来表示相同或相似部件。
为了清楚地阐明,没有展示和描述此处所描述的本发明实施的所有例行部件。当然应了解,在任何此种实际实施的发展过程中,为了达到开发者特殊目的必须决定许多与特定实施有关的事项,例如,必须符合与申请及商业有关的约束,而且这些特殊的目的将随实施的变化而改变,以及将随开发者的不同而有所不同。此外,应了解此种开发的努力可能是复杂的且费时的,但此不过是受益于本揭示的所属技术领域中的技术人员的例行工程任务而已。
图2描述一种电容耦合型等离子处理腔室200,代表通常运用于蚀刻基板的种类的示范性等离子处理腔室类型。现在参阅图2,夹盘202代表一工件固持器,蚀刻期间其上放置一如晶片204的基板。可利用任何适当的夹箝技术实施夹盘202,例如,静电式、机械式、夹具式、真空式或其类似技术。蚀刻期间,夹盘202通常具有同时在蚀刻期间由一双频电源206提供的双射频频率,例如,2Mhz及27Mhz。
上部电极208位于晶片204上方。该上部电极208在蚀刻期间可接地(如图2的例子),或者可由其它射频电源通电。如果给上部电极208通电,它可能会绝缘于反应器的其余部分,以使上部电极208与地绝缘。图2描述出一种蚀刻反应器,其中上部电极202的表面大于夹盘202表面。在蚀刻期间,等离子体210是由经输气管线212供应的蚀刻剂气源所形成,并经排气管线214被吸出。
定位环216可放置于上部电极208与诸如图2中夹盘202的底部电极间。一般说来,定位环216有助于将蚀刻等离子体210限制在晶片204上方区域内,以改进处理控制并确保可重复性。尽管在图2的实例中仅展示了三个定位环216,但应了解可提供任何数量的定位环。
将射频能量从双射频电源206供应至夹盘202时,在晶片204上方形成等电位场线(电场线穿越晶片204及等离子体210间的等离子护层)。等离子处理过程中,正离子加速穿过该等电位场线间撞击晶片204表面,藉此提供所需的蚀刻效果,例如,改进蚀刻定向性。由于上部电极208与夹盘202的几何结构,整个晶片表面的场线可能会不一致,且在晶片204边缘会发生显著变化。因此,通常会提供一集中环218以改进整个晶片表面处理的均一性。参考图2,图示晶片204置于集中环218内,该集中环可由适当绝缘体材料制成,例如,陶瓷、石英、塑料或其类似物。因此,藉由集中环218充分地环围晶片204及夹盘202,等电位场线大体均一地分布在整个晶片204的表面上方。
电导护罩220充分环围集中环218。配置电导护罩220,使其在等离子处理腔室内充分接地。护罩220避免在集中环218外部出现不需要的等电位场线,并且提供等电位场线所需的方向。
为了增强晶片边缘周围的射频耦合,并且藉此改进晶片边缘的均一性,将由护罩220提供的接地回路(ground return)更靠近晶片204边缘,从而也接近夹盘202外部边缘,使得夹盘202边缘与护罩220边缘间的距离至少小于上部电极208边缘与夹盘202边缘间的距离。因此,护罩220为夹盘202及晶片204边缘外部的电流提供一条较佳的接地回路路径。
图3描述电容耦合型等离子处理腔室300的另一项实施例。现在参考图3,夹盘302代表一工件固持器,蚀刻期间其上放置诸如晶片304的基板。可利用任何适当的夹箝技术实施夹盘302,例如,静电式、机械式、夹具式、真空式等等。蚀刻期间,夹盘302通常具有同时在蚀刻期间由一双频电源306提供的双射频频率,例如,2Mhz及27Mhz。
上部电极308位于晶片304上方。该上部电极308可接地(如图3的例子)。图3描述一种蚀刻反应器,其中上部电极302的表面大于夹盘302表面。在蚀刻期间,等离子体310是由经输气管线312供应的蚀刻剂气源形成,并经排气管线314被吸出。
定位环316可放置于上部电极308与诸如图3夹盘302的底部电极间。一般说来,定位环316有助于将蚀刻等离子体310限制在晶片304上方,以改进处理控制并确保可重复性。尽管图3的实例中仅展示了三个定位环316,但应了解可提供任何数量的定位环。
将射频能量从射频电源306供应至夹盘302时,会在晶片304上方形成等电位场线。等离子处理过程中,正离子加速穿过该等电位场线撞击晶片304表面,藉此提供所需的蚀刻效果,例如,改进蚀刻的定向性。由于上部电极308与夹盘302的几何结构,晶片表面的场线可能会不均一,且在晶片304边缘可能会发生显著变化。因此,通常会提供一集中环318,以改进整个晶片表面处理的均一性。参考图3,图示晶片304置于集中环318内,该集中环可由适当绝缘体材料制成,例如,陶瓷、石英、塑料或其类似物。如此,集中环318的存在允许等电位场线大体均一地分布在整个晶片304的整个表面上方。
电导护罩320充分环围集中环318。配置电导护罩320,使其在等离子处理腔室内充分接地。该屏蔽320避免了在集中环318外部出现不需要的等电位场线。
为了增强晶片边缘周围的射频耦合,并且藉此改进晶片边缘的均一性,将由护罩320提供的接地回路路径更靠近晶片边缘且与图3所示的绝缘体集中环318隔离。例如,该护罩320可以管筒状充分环围集中环318。例如,该电导护罩320可包括铝。为了使电导护罩320更靠近晶片304边缘,护罩320可覆盖一层硅322。如图3所示,该硅覆盖层322也可部份延伸且覆盖于绝缘体集中环318的上方。硅覆盖层322内部边缘和晶片304缘间的距离至少小于上电极308边和夹盘302边缘间的距离。绝缘体集中环318使得晶片和硅覆盖层322电绝缘。
图4为通过比较根据现有技术所取得的蚀刻率与根据本发明所取得的蚀刻率所得的曲线图。其中,使用一15毫米的石英集中环作为晶片边缘与覆盖硅的铝接地护罩间的绝缘体。生成图4曲线图的实验是在扁平电极间存在1.35cm间隙的电容耦合型腔室内进行的。带有涂层的氧化物晶片蚀刻完成,并检查均一性。图线402是根据现有技术,在一个普通的等离子蚀刻反应器中生成的。图线404是在一个使用本申请构造的等离子蚀刻反应器中生成的。
如图4所示,使用本申请构造与使用普通构造相比,蚀刻率的均一性得到了显著改善。图4的曲线图因此描述了晶片尤其在晶片边缘较均一的蚀刻率。使用本申请的构造产出了更多较均一的处理过的晶片。
图5根据本发明的一个实施例,描述一种在等离子蚀刻设备中改进蚀刻率均一性的方法,该设备具有一顶部电极及一底部电极。在502,一电绝缘环或集中环被置于底部电极边缘周围。底部电极可支撑晶片,并且可耦合至一双频射频电源。在504,接地护罩被置于电绝缘环周围,并且充分环围该电绝缘环及底部电极。该底部电极或晶片的边缘与该接地护罩的边缘间的距离至少小于该底部电极或晶片的边缘与该顶部电极的边缘间的距离。根据本发明的另一实施例,也可在506调整接地护罩与顶部电极间的距离。通过在506调整接地护罩与顶部电极间的距离,可进一步优化晶片的蚀刻率的均一性。
虽然已展示及描述了本发明的实施例及应用,然而受益于本揭示的所属技术领域的技术人员应很明白,可有比上述更多的修正而不脱离本发明的范畴。因此,本发明仅受随附的权利要求之精神的限制。

Claims (9)

1.一种蚀刻设备,其包括:
一耦合到一固定电位源的第一电极;
一耦合到一双频射频电源的第二电极;
多个定位环,其置于所述第一电极与所述第二电极之间;
一腔室,其封装所述第一电极、所述第二电极及所述复多个定位环,
所述腔室由一耦合到所述固定电位源的电导材料形成;
一集中环,其充分环围所述第二电极,并且使所述第二电极电绝缘;
一护罩,其充分环围所述集中环,所述第二电极的边缘与所述护罩的边缘间的距离至少小于所述第二电极的边缘与所述第一电极边缘的距离,所述护罩由耦合到所述固定电位源的电导材料形成。
2.如权利要求1所述的蚀刻设备,其中所述第二电极支撑一晶片。
3.如权利要求1所述的蚀刻设备,其中所述集中环包含石英。
4.如权利要求1所述的蚀刻设备,其中所述护罩包含铝。
5.如权利要求4所述的蚀刻设备还包括:一覆盖所述护罩的硅层。
6.如权利要求5所述的蚀刻设备,其中所述硅层在所述集中环的上方部分向内延伸。
7.如权利要求1所述的蚀刻设备,其中所述第一电极的表面大于所述第二电极的表面。
8.一种用于在一有一顶部电极与一底部电极的等离子蚀刻设备中改进蚀刻率均一性的方法,所述方法包括:
将一电绝缘环放置在一耦合到一双频射频电源的所述底部电极的所述边缘周围;以及
将一接地护罩放置在所述电绝缘环周围,所述底部电极边缘与所述接地护罩边缘间的距离至少小于所述底部电极的边缘与所述顶部电极的边缘间的距离。
9.如权利要求8所述的方法还包括:
调整所述接地护罩的边缘与所述顶部电极的边缘之间的距离。
CNB028224922A 2001-11-13 2002-11-13 改进蚀刻率均一性的设备和方法 Expired - Lifetime CN1312727C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33803401P 2001-11-13 2001-11-13
US60/338,034 2001-11-13

Publications (2)

Publication Number Publication Date
CN1585997A CN1585997A (zh) 2005-02-23
CN1312727C true CN1312727C (zh) 2007-04-25

Family

ID=23323116

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028224922A Expired - Lifetime CN1312727C (zh) 2001-11-13 2002-11-13 改进蚀刻率均一性的设备和方法

Country Status (6)

Country Link
US (1) US6887340B2 (zh)
EP (1) EP1446825B1 (zh)
JP (2) JP4750359B2 (zh)
KR (2) KR101094123B1 (zh)
CN (1) CN1312727C (zh)
WO (1) WO2003043061A1 (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20060081337A1 (en) * 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
KR101250717B1 (ko) * 2004-06-21 2013-04-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7695633B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8674255B1 (en) * 2005-12-08 2014-03-18 Lam Research Corporation Apparatus and method for controlling etch uniformity
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9947559B2 (en) 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
KR101313166B1 (ko) 2011-11-23 2013-09-30 엘아이지에이디피 주식회사 플라즈마 처리장치
CN103021934B (zh) * 2012-12-20 2015-10-21 中微半导体设备(上海)有限公司 一种通孔或接触孔的形成方法
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
CN106611691B (zh) * 2015-10-26 2018-10-12 中微半导体设备(上海)有限公司 多频脉冲等离子体处理装置及其处理方法和清洗方法
DE102017124682B4 (de) * 2017-10-23 2019-06-27 RF360 Europe GmbH Wafer-Träger, Verfahren zum Abtragen von Material von einer Oberseite eines Wafers und Verfahren zum Hinzufügen von Material zu einem Wafer
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
CN112614769B (zh) * 2020-12-11 2021-12-31 无锡邑文电子科技有限公司 一种碳化硅刻蚀工艺腔体装置及使用方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6241477B1 (en) * 1999-08-25 2001-06-05 Applied Materials, Inc. In-situ getter in process cavity of processing chamber

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392932A (en) 1981-11-12 1983-07-12 Varian Associates, Inc. Method for obtaining uniform etch by modulating bias on extension member around radio frequency etch table
JPS6247131A (ja) * 1985-08-27 1987-02-28 Nec Corp 反応性イオンエツチング装置
DE3835153A1 (de) 1988-10-15 1990-04-26 Leybold Ag Vorrichtung zum aetzen von substraten durch eine glimmentladung
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
JP3260168B2 (ja) * 1991-07-23 2002-02-25 東京エレクトロン株式会社 プラズマ処理装置
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5573596A (en) 1994-01-28 1996-11-12 Applied Materials, Inc. Arc suppression in a plasma processing system
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5942042A (en) 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
JP4602545B2 (ja) 1997-09-16 2010-12-22 アプライド マテリアルズ インコーポレイテッド プラズマチャンバの半導体ワークピース用シュラウド
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
JP2000030896A (ja) * 1998-07-10 2000-01-28 Anelva Corp プラズマ閉込め装置
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2000286242A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
KR100674624B1 (ko) * 1999-05-07 2007-01-25 동경 엘렉트론 주식회사 센서기판, 기판처리방법 및 기판처리장치
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6241477B1 (en) * 1999-08-25 2001-06-05 Applied Materials, Inc. In-situ getter in process cavity of processing chamber

Also Published As

Publication number Publication date
JP2010050485A (ja) 2010-03-04
JP5546216B2 (ja) 2014-07-09
CN1585997A (zh) 2005-02-23
KR20090125217A (ko) 2009-12-03
US6887340B2 (en) 2005-05-03
JP2006501631A (ja) 2006-01-12
JP4750359B2 (ja) 2011-08-17
KR101094123B1 (ko) 2011-12-15
WO2003043061A1 (en) 2003-05-22
EP1446825A1 (en) 2004-08-18
KR100964827B1 (ko) 2010-06-22
US20030148611A1 (en) 2003-08-07
KR20050044437A (ko) 2005-05-12
EP1446825B1 (en) 2012-10-31

Similar Documents

Publication Publication Date Title
CN1312727C (zh) 改进蚀刻率均一性的设备和方法
TWI736582B (zh) 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統
CN101199036B (zh) 具有可调电极面积比的受约束等离子体
KR101369616B1 (ko) 유전체 및/또는 상이한 두께, 프로파일 및/또는 형상을갖는 공동을 갖는 정전 척 어셈블리, 그 사용 방법 및그것을 포함한 장치
CN102067737B (zh) 具有不同高度的内外电极的阴极
CN102163538B (zh) 多电感耦合等离子体反应器及其方法
US6744212B2 (en) Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
CN201465987U (zh) 等离子体处理装置
US20040040939A1 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
KR20100035166A (ko) 기판 프로세싱용 에지 링 배열
CN102106191A (zh) 具有可控制分配rf功率至制程套组环的等离子体反应器的工件支撑件
US20190006156A1 (en) Plasma Processing Apparatus
WO2006135924A1 (en) Improvement of etch rate uniformity using the independent movement of electrode pieces
US10269573B2 (en) Device and method for manufacturing a semiconductor structure
KR20200031181A (ko) 플라즈마 처리 장치를 위한 냉각된 포커스 링
JPH1197430A (ja) 高密度プラズマプロセスチャンバ
KR20230016644A (ko) 분산된 플라즈마 소스 어레이
US20040163595A1 (en) Plasma processing apparatus
TW202004831A (zh) 電漿處理裝置
CN214477329U (zh) 等离子体处理装置和下电极组件
KR100391063B1 (ko) 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
CN211350572U (zh) 等离子体反应器
CN106937474A (zh) 一种电感耦合等离子处理器
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20070425