KR101094123B1 - 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법 - Google Patents

에칭 속도의 균일성을 향상시키기 위한 장치 및 방법 Download PDF

Info

Publication number
KR101094123B1
KR101094123B1 KR1020097022750A KR20097022750A KR101094123B1 KR 101094123 B1 KR101094123 B1 KR 101094123B1 KR 1020097022750 A KR1020097022750 A KR 1020097022750A KR 20097022750 A KR20097022750 A KR 20097022750A KR 101094123 B1 KR101094123 B1 KR 101094123B1
Authority
KR
South Korea
Prior art keywords
electrode
edge
shield
distance
wafer
Prior art date
Application number
KR1020097022750A
Other languages
English (en)
Other versions
KR20090125217A (ko
Inventor
라진더 딘사
바비 카드크호다얀
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090125217A publication Critical patent/KR20090125217A/ko
Application granted granted Critical
Publication of KR101094123B1 publication Critical patent/KR101094123B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

에칭 장치는 제1 전극, 제2 전극, 구속 링, 포커스 링 및 실드를 둘러싸는 챔버를 가진다. 제1 전극은 고정 전위 전원에 결합된다. 제2 전극은 이중 주파수 RF 전력원에 결합된다. 구속 링은 제1 전극과 제2 전극 사이에 배치된다. 챔버는 전원에 결합되는 전기 전도성 재료로 형성된다. 포커스 링은 제2 전극을 실질적으로 둘러싸고 제2 전극을 전기적으로 절연시킨다. 실드는 포커스 링을 실질적으로 둘러싼다. 제2 전극의 에지와 실드의 에지 사이의 거리는 적어도 제2 전극의 에지와 제1 전극의 에지 사이의 거리보다 더 작다. 실드는 고정 전위 전원에 결합되는 전기 전도성 재료로 형성된다.
에칭 장치, 제1 전극, 제2 전극, 구속 링, 포커스 링

Description

에칭 속도의 균일성을 향상시키기 위한 장치 및 방법 {APPARATUS AND METHOD FOR IMPROVING ETCH RATE UNIFORMITY}
본 출원은 동일 발명자의 명의로 2001년 11월 13일자로 출원된 미국 특허 가출원 제60/338,034호의 권리를 주장한다.
본 발명은 기판 지지부에 관한 것이다. 더 구체적으로는 본 발명은 플라즈마 프로세싱 동안 기판 위에서의 균일한 플라즈마 분포를 달성하기 위한 방법 및 장치에 관한 것이다.
전형적인 플라즈마 에칭 장치는 반응 가스 또는 가스들이 유동하는 챔버가 존재하는 반응로를 포함한다. 챔버 내부에서, 가스는 대개 무선 주파수 에너지에 의해 플라즈마로 이온화된다. 플라즈마 가스의 고반응성 이온은 집적 회로(IC) 로 처리되고 있는 반도체 웨이퍼 표면 상의 폴리머 마스크와 같은 재료와 반응할 수 있다. 에칭 전에, 웨이퍼는 챔버 내에 위치되고 웨이퍼의 상부 표면을 플라즈마 가스에 노출시키는 척 또는 홀더에 의해 적절한 위치에서 유지된다. 이 기술 분야에서 공지된 수 개의 척 형태가 존재한다. 척은 등온 표면을 제공하고 웨이퍼에 대한 히트 싱크로서 기능한다. 일 형태에 있어서, 반도체 웨이퍼는 기계적인 클램 핑 수단에 의해 에칭을 위해 적절한 위치에서 유지된다. 척의 다른 형태에 있어서, 반도체 웨이퍼는 척과 웨이퍼 사이의 전기장에 의해 발생되는 정전기력에 의해 적절한 위치에서 유지된다. 본 발명은 두 가지 형태의 척 모두에 대해 적용 가능하다.
반도체 프로세싱에 있어서, 각각의 프로세스 동안 웨이퍼를 가로지른 에칭 또는 증착 속도의 균일성은 장치의 수율에 직접적으로 영향을 미친다. 이는 프로세스 반응로에 대한 주요 품질 조건 중 하나가 되었고, 따라서 그 설계 및 개발 중 매우 중요한 변수로 여겨진다. 웨이퍼 직경 치수에 있어서의 각각의 증가에 의하면, 점점 더 대형화된 웨이퍼로부터 IC의 각각의 배치(batch)의 균일성을 보장하는 문제가 더 어려워지게 되었다. 예를 들면, 웨이퍼 치수 및 웨이퍼 당 더 작은 치수에 있어서 200 mm로부터 300 mm로의 증가에 대해, 에지 배제부는 예를 들면 2 mm로 수축한다. 따라서 균일한 에칭 속도, 프로파일 및 웨이퍼의 에지로부터 2 mm 범위 내의 임계 치수 (critical dimension) 를 유지시키는 것이 매우 중요하게 되었다.
플라즈마 에칭 반응로에 있어서, 에칭 변수(에칭 속도, 프로파일, CD 등)의 균일성은 몇몇 변수에 의해 영향을 받는다. 균일한 플라즈마 방전 및 웨이퍼 위에서의 플라즈마 화학적 성질을 유지시키는 것이 균일성을 향상시키는데 있어 매우 중요하게 되었다. 샤워 헤드를 통한 가스 유동 분사를 조정하고, 샤워 헤드의 설계를 변경시키고, 그리고 에지 링을 웨이퍼 주위에 위치시킴으로써 웨이퍼의 균일성을 향상시키기 위한 수많은 시도가 착상되어 왔다.
다른 치수의 전극을 가지는 용량 결합형 에칭 반응로에서의 문제점은 특히 웨이퍼 에지 주위에서의 균일한 RF 커플링의 부족이다. 도1은 기판을 에칭하기 위해 보통 채용되는 형태의 예시적인 플라즈마 프로세싱 챔버를 나타내는, 종래의 용량 결합형 플라즈마 프로세싱 챔버(100)를 도시한다. 지금부터 도1을 참조하면, 척(102)은 그 위에 웨이퍼(104)와 같은 기판이 에칭 중에 위치 설정되는 작업편 홀더를 나타낸다. 척(102)은 임의의 적절한 처킹 기술, 예를 들면 정전기식, 기계식, 클램핑식, 진공식 등에 의해 실현될 수도 있다. 에칭 동안, 척(102)에는 이중 주파수원(106)에 의해, 에칭중 동시에 대개 이중 RF 주파수(저주파수 및 고주파수), 예를 들면 2 Mhz 및 27 Mhz가 공급된다.
상부 전극(108)은 웨이퍼(104) 위에 위치된다. 상부 전극(108)은 접지된다. 도1은 상부 전극(108)의 표면이 척(102) 및 웨이퍼(104)의 표면보다 더 큰 에칭 반응로를 도시한다. 에칭 중에, 플라즈마(110)는 가스 라인(112)을 통해 공급되는 에천트 공급원 가스로부터 형성되어 배기 라인(114)을 통해 펌핑되어 나간다.
구속 링(116)은 상부 전극(108)과 도1의 척 (102) 과 같은 바닥 전극 사이에 위치될 수도 있다. 전체적으로, 구속 링(116)은 에칭 플라즈마(110)를 웨이퍼(104) 위의 영역에 한정시키는 것을 도와서 프로세스 제어를 향상시키고 반복성을 보장한다.
RF 전력이 RF 전력원(106)으로부터 척(102)에 공급되면, 등전위 전기력선이 웨이퍼(104)에 걸쳐 설정된다. 등전위 전기력선은 웨이퍼(104)와 플라즈마(110) 사이에 있는 플라즈마 시스(sheath)를 가로지르는 전기력선이다. 플라즈마 프로세 싱 중에, 양 이온은 웨이퍼(104)의 표면 상에 충돌하도록 등전위 전기력선을 가로질러 가속됨으로써 에칭 방향성을 향상시키는 것과 같은 원하는 에칭 효과를 제공한다. 상부 전극(108) 및 척(102)의 기하학적 형상에 기인하여, 전기력선은 웨이퍼 표면을 가로질러 균일하지 않을 수도 있고 웨이퍼(104)의 에지에서 심하게 변화할 수도 있다. 따라서, 전체 웨이퍼 표면을 가로질러 프로세스 균일성을 향상시키기 위해 대개 포커스 링(118)이 제공된다. 도1를 참조하면, 웨이퍼(104)는 세라믹, 석영, 플라스틱 등과 같은 적절한 유전체 재료로 형성될 수도 있는, 포커스 링(118) 내부에 배치되어 도시되어 있다. 따라서, 포커스 링(118)의 존재는 등전위 전기력선이 웨이퍼(104)의 전체 표면에 걸쳐 실질적으로 균일하게 배치되는 것을 허용한다.
전기 전도성 실드(120)는 포커스 링(118)을 실질적으로 둘러싼다. 전기 전도성 실드(120)는 플라즈마 프로세싱 챔버 내부에 실질적으로 접지되도록 구성된다. 실드(120)는 포커스 링(118) 외측에서의 원하지 않는 등전위 전기력선의 존재를 방지한다.
상부 전극(108)이 바닥 전극(102)보다 더 크기 때문에, 웨이퍼(104)와 상부 전극(108) 사이에서 RF 전류가 이동되는 경로는 특히 웨이퍼(104)의 에지에서 증가한다. 따라서, 웨이퍼(104) 상에서의 에칭 속도는 웨이퍼(104)의 외부 에지에서 떨어져서 균일성이 낮게 에칭된 웨이퍼를 초래한다.
따라서, 웨이퍼 위에서의 플라즈마 방전 균일성을 향상시키기 위한 방법 및 장치에 대한 필요성이 존재한다. 본 발명의 주요 목적은 이러한 필요성을 해결하여, 더 나아가 이와 관련된 장점을 제공하는 것이다.
에칭 장치는 제1 전극, 제2 전극, 구속 링, 포커스 링 및 실드를 둘러싸는 챔버를 가진다. 제1 전극은 고정 전위 전원에 결합된다. 제2 전극은 이중 주파수 RF 전력원에 결합된다. 구속 링은 제1 전극과 제2 전극 사이에 배치된다. 챔버는 전원에 결합된 전기 전도성 재료로 형성된다. 포커스 링은 실질적으로 제2 전극을 둘러싸서 제2 전극을 전기적으로 절연시킨다. 실드는 포커스 링을 실질적으로 둘러싼다. 제2 전극의 에지와 실드의 에지 사이의 거리는 적어도 제2 전극의 에지와 제1 전극의 에지 사이의 거리보다 더 작다. 실드는 고정 전위 전원에 결합된 전기 전도성 재료로 형성된다.
본 명세서에 합체되어 그 일부분을 구성하는 첨부 도면은 본 발명의 하나 이상의 실시예를 도시하고, 상세한 설명과 함께 본 발명의 원리 및 구체적 수단을 설명하는 기능을 한다.
본 발명에 의하면, 플라즈마 방전 균일성이 향상된 방법 및 장치가 제공된다.
본 발명의 실시예는 이중 주파수 플라즈마 에칭 반응로에서의 에칭 속도 균일성 향상을 내용으로 하여 설명된다. 이 기술 분야에 있어 통상의 숙련자들은 본 발명의 다음의 상세한 설명이 단지 예시적인 것이지 어떠한 방식으로도 제한하려는 의도가 없음을 인식할 것이다. 본 발명의 다른 실시예가 본 개시 내용의 장점을 이용하려는 숙련자에게 제안될 것이다. 지금부터 첨부 도면에 도시된 바와 같은 본 발명의 구체적 수단이 상세하게 언급될 것이다. 도면 및 다음의 설명의 전체에 걸쳐서 동일한 도면 부호가 동일 또는 유사 부분을 나타내기 위해 사용될 것이다.
간단 명료성을 위해, 여기서 설명되는 구체적 수단의 일반적인 특징 모두가 도시되고 설명되지는 않는다. 물론, 임의의 이러한 실제 구체적 수단의 개발에 있어서, 수많은 구체적 수단의 특정 결정이 적용예와의 순응 및 사업 관련 제한과 같은 개발자의 특정 목적을 이루기 위해 이루어져야만 하고, 이들 특정 목적은 일 구체적 수단으로부터 다른 것으로 그리고 일 개발자로부터 다른 개발자로 변화할 것임을 알 수 있다. 게다가, 이러한 개발 노력은 복잡하고 시간 소모적일 수도 있지만, 그럼에도 불구하고 본 개시 내용의 장점을 이용하려는 기술 분야의 일반적인 숙련자에 대해 공학에서의 일반적인 방식이 될 수 있음을 알 수 있다.
도2는 기판을 에칭하기 위해 보통 채용되는 형태의 예시적인 플라즈마 프로세싱 챔버를 나타내는, 용량 결합형 플라즈마 프로세싱 챔버(200)를 도시한다. 도2를 참조하면, 척(202)은 그 위에 웨이퍼(204)와 같은 기판이 에칭 중에 위치 설정되는 작업편 홀더를 나타낸다. 척(202)은 임의의 적절한 처킹 기술, 예를 들면 정 전기식, 기계식, 클램핑식, 진공식 등에 의해 실현될 수도 있다. 에칭 동안, 척(202)에는 이중 주파수원(206)에 의해 에칭 중 동시에 대개 이중 RF 주파수, 예를 들면 2 Mhz 및 27 Mhz가 공급된다.
상부 전극(208)은 웨이퍼(204) 위에 위치된다. 상부 전극(208)은 접지되거나(도2의 경우), 에칭 중에 다른 RF 전력원에 의해 전력을 공급받을 수도 있다. 상부 전극(208)에 전력이 공급되면, 이는 상부 전극(208)을 접지로부터 격리시키기 위해 반응로의 잔여부로부터 절연될 수도 있다. 도2는 상부 전극(202)의 표면이 척(202)의 표면보다 더 큰 에칭 반응로를 도시한다. 에칭 중에, 플라즈마(210)는 가스 라인(212)을 통해 공급되는 에천트 공급원 가스로부터 형성되어 배기 라인(214)을 통해 펌핑되어 나간다.
구속 링(216)은 상부 전극(208)과 도2의 척(202)과 같은 바닥 전극 사이에 위치될 수도 있다. 일반적으로, 구속 링(216)은 에칭 플라즈마(210)를 웨이퍼(204) 위의 영역에 한정시키는 것을 도와서 프로세스 제어를 향상시키고 반복성을 보장한다. 단지 3개의 구속 링(216)이 도2의 일예에 도시되어 있지만, 임의의 개수의 구속 링이 제공될 수도 있는 것으로 이해하여야 한다.
RF 전력이 이중 RF 전력원(206)으로부터 척(202)에 공급되면, 등전위 전기력선[웨이퍼(204)와 플라즈마(210) 사이에 있는 플라즈마 시스를 가로지르는 전기력선]이 웨이퍼(204)에 걸쳐 설정된다. 플라즈마 프로세싱 중에, 양 이온이 웨이퍼(204)의 표면 상에 충돌하도록 등전위 전기력선을 가로질러 가속됨으로써, 에칭 방향성을 향상시키는 것과 같은 원하는 에칭 효과를 제공한다. 상부 전극(208) 및 척(202)의 기하학적 형상에 기인하여, 전기력선은 웨이퍼 표면을 가로질러 균일하지 않을 수도 있고 웨이퍼(204)의 에지에서 매우 심하게 변화할 수도 있다. 따라서, 전체 웨이퍼 표면을 가로지르는 프로세스 균일성을 향상시키기 위해 대개 포커스 링(218)이 제공된다. 도2를 참조하면, 웨이퍼(204)는 세라믹, 석영, 플라스틱 등과 같은 적절한 유전체 재료로 형성될 수도 있는, 포커스 링(218) 내부에 배치되어 도시되어 있다. 따라서, 웨이퍼(204) 및 척(202)을 포커스 링(218)으로 실질적으로 둘러쌈으로써, 등전위 전기력선은 웨이퍼(204)의 전체 표면에 걸쳐 실질적으로 균일하게 배치된다.
전기 전도성 실드(220)는 포커스 링(218)을 실질적으로 둘러싼다. 전기 전도성 실드(220)는 플라즈마 프로세싱 챔버 내부에 실질적으로 접지되도록 구성된다. 실드(220)는 포커스 링(218) 외측에서의 원하지 않는 등전위 전기력선의 존재를 방지하여 등전위 전기력선의 원하는 방향성을 제공한다.
웨이퍼 에지 주위에서 RF 커플링을 향상시킴으로써, 웨이퍼 에지의 균일성을 향상시키기 위해, 실드(220)에 의해 제공되는 접지 귀로는 웨이퍼(204)의 에지 및 그에 따른 척(202)의 외부 에지에 더 가깝게 되게 하여, 척(202)의 에지와 실드(220)의 에지 사이의 거리는 적어도 상부 전극(208)의 에지와 척(202)의 에지 사이의 거리보다 더 작게 된다. 따라서, 실드(220)는 웨이퍼(204) 및 척(202)의 에지 밖으로의 전류에 대한 양호한 접지 귀로를 제공한다.
도3은 용량 결합형 플라즈마 프로세싱 챔버(300)의 다른 실시예를 도시한다. 지금부터 도3을 참조하면, 척(302)은 그 위에 웨이퍼(304)와 같은 기판이 에칭 중 에 위치 설정되는 작업편 홀더를 나타낸다. 척(302)은 임의의 적절한 처킹 기술, 예를 들면 정전기식, 기계식, 클램핑식, 진공식 등에 의해 실현될 수도 있다. 에칭 동안, 척(302)에는 이중 주파수원(306)에 의해 에칭 중 동시에 대개 이중 RF 주파수, 예를 들면 2 Mhz 및 27 Mhz가 공급된다.
상부 전극(308)은 웨이퍼(304) 위에 위치된다. 상부 전극(308)은 도3의 경우와 같이 접지될 수도 있다. 도3은 상부 전극(302)의 표면이 척(302)의 표면보다 더 큰 에칭 반응로를 도시한다. 에칭 중에, 플라즈마(310)는 가스 라인(312)을 통해 공급되는 에천트 공급원 가스로부터 형성되어 배기 라인(314)을 통해 펌핑되어 나간다.
구속 링(316)은 상부 전극(308)과 도3의 척(302)과 같은 바닥 전극 사이에 위치될 수도 있다. 전체적으로, 구속 링(316)은 에칭 플라즈마(310)를 웨이퍼(304) 위의 영역에 한정시키는 것을 도와서 프로세스 제어를 향상시키고 반복성을 보장한다. 단지 3개의 구속 링(316)이 도3의 일예에 도시되어 있지만, 임의의 개수의 구속 링이 제공될 수도 있는 것으로 이해하여야 한다.
RF 전력이 RF 전력원(306)으로부터 척(302)에 공급되면, 등전위 전기력선이 웨이퍼(304)에 걸쳐 설정된다. 플라즈마 프로세싱 중에, 양 이온이 웨이퍼(304)의 표면 상에 충돌하도록 등전위 전기력선을 가로질러 가속됨으로써, 에칭 방향성을 향상시키는 것과 같은 원하는 에칭 효과를 제공한다. 상부 전극(308) 및 척(302)의 기하학적 형상에 기인하여, 전기력선은 웨이퍼 표면을 가로질러 균일하지 않을 수도 있고 웨이퍼(304)의 에지에서 심하게 변화할 수도 있다. 따라서, 전체 웨이 퍼 표면을 가로질러 프로세스 균일성을 향상시키기 위해 대개 포커스 링(318)이 제공된다. 도3을 참조하면, 웨이퍼(304)는 세라믹, 석영, 플라스틱 등과 같은 적절한 유전체 재료로 형성될 수도 있는, 포커스 링(318) 내부에 배치되어 도시되어 있다. 따라서, 포커스 링(318)의 존재는 등전위 전기력선이 웨이퍼(304)의 전체 표면에 걸쳐 실질적으로 균일하게 배치되는 것을 허용한다.
전기 전도성 실드(320)는 포커스 링(318)을 실질적으로 둘러싼다. 전기 전도성 실드(320)는 플라즈마 프로세싱 챔버 내부에 실질적으로 접지되도록 구성된다. 실드(320)는 포커스 링(318) 외측에서의 원하지 않는 등전위 전기력선의 존재를 방지한다.
웨이퍼 에지 주위에서 RF 커플링을 향상시킴으로써, 웨이퍼 에지의 균일성을 향상시키기 위해, 실드(320)에 의해 제공되는 접지 귀로는 도3에 도시된 바와 같이 웨이퍼 에지에 더 가깝게 그리고 유전체 포커스 링(318)에 멀어지게 된다. 실드(320)는 예를 들면, 포커스 링(318)을 실질적으로 둘러싸는 튜브 형태일 수도 있다. 전기 전도성 실드(320)는 예를 들면, 알루미늄을 포함할 수도 있다. 전기 전도성 실드(320)가 웨이퍼(304)의 에지에 더 가까워지게 하기 위해, 실드(320)는 실리콘 층(322)으로 코팅될 수도 있다. 실리콘 코팅 층(322)은 부분적으로 연장하여 도3에 도시된 바와 같이 유전체 포커스 링(318)에 걸쳐 코팅될 수도 있다. 실리콘 코팅(322)의 내부 에지와 웨이퍼(304)의 에지 사이의 거리는 적어도 상부 전극(308)의 에지와 척(302)의 에지 사이의 거리보다 더 작다. 유전체 포커스 링(318)은 웨이퍼 및 실리콘 코팅(322)을 전기적으로 절연시킨다.
도4는 종래기술에 따라 달성되는 에칭 속도와 본 발명에 따라 달성되는 에칭 속도를 비교한 그래프이다. 15 밀리미터의 석영 포커스 링이 웨이퍼의 에지와 실리콘 코팅의 알루미늄 접지 실드 사이에서 절연체로서 사용된다. 도4에서의 그래프를 생성하는 실험이 평편 전극 사이에 1.35 cm의 갭을 가지는 용량 결합형 챔버에서 수행되었다. 산화 블랭킷 웨이퍼 (Blanket Oxide wafer) 가 균일성을 점검하기 위해 에칭되었다. 플롯 라인(402)은 종래기술에 따른 종래의 플라즈마 에칭 반응로에서 생성되었다. 플롯 라인(404)은 본 청구 기술 구성을 사용하는 플라즈마 에칭 반응로에서 생성되었다.
도4에 도시된 바와 같이, 에칭 속도 균일성은 본 청구 기술 구성을 사용하여 종래 기술 구성에 비해 현저하게 향상되었다. 따라서 도4의 그래프는 더 균일하게 처리된 웨이퍼를 제공하는 본 청구 기술 구성을 사용하여, 웨이퍼를 가로질러, 특히 웨이퍼의 에지에서 더 균일한 에칭 속도를 나타내고 있다.
도5는 본 발명의 일 실시예에 따른 상부 전극 및 바닥 전극을 가지는 플라즈마 에칭 장치에서의 에칭 속도의 균일성을 향상시키는 방법을 도시한다. 단계 502에서, 전기 절연 링 또는 포커스 링은 바닥 전극의 에지 주위에 위치된다. 바닥 전극은 웨이퍼를 지지할 수도 있고 이중 주파수 RF 전력원에 결합될 수도 있다. 단계 504에서, 접지된 실드는 전기 절연 링 주위에서 위치되어 전기 절연 링 및 바닥 전극 모두를 실질적으로 둘러싼다. 웨이퍼 또는 바닥 전극의 에지와 접지된 실드의 에지 사이의 거리는 적어도 웨이퍼 또는 바닥 전극의 에지와 상부 전극의 에지 사이의 거리보다 더 작다. 본 발명의 다른 실시예에 따르면, 접지된 실드와 상 부 전극 사이의 거리도 단계 506에서 조정될 수도 있다. 웨이퍼를 가로지른 에칭 속도 균일성은 단계 506에서 접지된 실드와 상부 전극 사이의 거리를 조정함으로써 더 최적화될 수도 있다.
본 발명의 실시예 및 적용예가 도시되고 기술되었지만, 본 개시 내용의 장점을 이용하려는 기술 분야의 숙련자에게 있어 전술된 것 이외의 수많은 변형이 본 발명의 개념 내에서 가능함은 명백할 것이다. 따라서, 본 발명은 첨부된 청구범위의 정신에 의해서만 한정된다.
도1은 종래기술에 따른 에칭 장치의 개략도이다.
도2는 본 발명의 특정 실시예에 따른 에칭 장치의 개략도이다.
도3은 본 발명의 다른 특정 실시예에 따른 에칭 장치의 개략도이다.
도4는 종래기술에 따라 달성되는 에칭 속도와 본 발명의 일 실시예에 따라 달성되는 에칭 속도를 비교한 그래프이다.
도5는 본 발명의 일 실시예에 따른 에칭 속도의 균일성을 향상시키는 방법을 도시한 흐름도이다.

Claims (36)

  1. 기판을 처리하도록 구성된 플라즈마 처리 챔버로서,
    고정 전위의 전원에 연결된 제 1 전극;
    이중 주파수 RF 전력원에 연결된 제 2 전극;
    상기 제 1 전극과 상기 제 2 전극 사이에 배치되는 구속 수단;
    상기 제 2 전극을 실질적으로 둘러싸고 상기 제 2 전극을 전기적으로 절연시키는 포커스 링; 및
    상기 포커스 링을 실질적으로 둘러싸는 실드를 포함하고,
    상기 제 2 전극의 에지와 상기 실드의 에지 사이의 거리는 적어도 상기 제 2 전극과 상기 제 1 전극 사이의 거리보다 작고, 상기 실드는 상기 고정 전위의 전원에 연결된 전기 전도성 재료로 형성되는, 플라즈마 처리 챔버.
  2. 제 1 항에 있어서,
    상기 구속 수단은 상기 제 2 전극에 의해 지지되는 웨이퍼 상부의 영역에 플라즈마를 구속하는, 플라즈마 처리 챔버.
  3. 제 1 항에 있어서,
    상기 실드는 상기 제 2 전극의 에지 밖으로의 전류에 대한 양호한 귀로를 제공하는, 플라즈마 처리 챔버.
  4. 제 1 항에 있어서,
    상기 실드 상에 코딩된 실리콘 층을 더 포함하는, 플라즈마 처리 챔버.
  5. 제 4 항에 있어서,
    상기 실리콘 층은 상기 포커스 링에 걸쳐 부분적으로 내향으로 연장되는, 플라즈마 처리 챔버.
  6. 제 1 항에 있어서,
    상기 챔버의 벽은 상기 고정 전위의 전원에 연결된 전기 전도성 재료로 형성되는, 플라즈마 처리 챔버.
  7. 제 1 항에 있어서,
    상기 제 2 전극과 상기 제 1 전극 사이의 거리는 상기 제 2 전극의 에지와 상기 제 1 전극의 에지 사이의 거리로서 측정되는, 플라즈마 처리 챔버.
  8. 제 1 항에 있어서,
    상기 제 2 전극과 상기 제 1 전극 사이의 거리는 상기 제 2 전극의 표면과 상기 제 1 전극의 표면 사이의 거리로서 측정되는, 플라즈마 처리 챔버.
  9. 제 1 항에 있어서,
    상기 제 1 전극의 표면은 상기 제 2 전극의 표면보다 넓은, 플라즈마 처리 챔버.
  10. 제 1 항에 있어서,
    상기 제 2 전극은 웨이퍼를 지지하는, 플라즈마 처리 챔버.
  11. 제 1 항에 있어서,
    상기 포커스 링은 석영을 포함하는, 플라즈마 처리 챔버.
  12. 제 1 항에 있어서,
    상기 실드는 알루미늄을 포함하는, 플라즈마 처리 챔버.
  13. 상부 전극 및 바닥 전극을 갖는 플라즈마 처리 챔버에서 에칭 속도의 균일성을 향상시키기 위한 방법으로서,
    전기 절연 링을 이중 주파수 RF 전력원에 연결된 바닥 전극의 에지 주위에 배치하는 단계; 및
    상기 상부 전극과 상기 바닥 전극 사이에 구속 수단을 제공하는 단계; 및
    접지된 실드를 상기 전기 절연 링 주위에 배치하는 단계를 포함하고,
    상기 바닥 전극의 에지와 상기 접지된 실드의 에지 사이의 거리는 적어도 상기 바닥 전극과 상기 상부 전극 사이의 거리보다 작은, 에칭 속도의 균일성을 향상시키기 위한 방법.
  14. 제 13 항에 있어서,
    상기 접지된 실드의 에지와 상기 상부 전극의 에지 사이의 거리를 조정하는 단계를 더 포함하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  15. 제 13 항에 있어서,
    상기 구속 수단은 상기 바닥 전극에 의해 지지되는 웨이퍼 상부의 영역에 플라즈마를 구속하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  16. 제 13 항에 있어서,
    상기 실드는 상기 바닥 전극의 에지 밖으로의 전류에 대한 양호한 귀로를 제공하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  17. 제 13 항에 있어서,
    상기 실드 상에 실리콘 층을 배치하는 단계를 더 포함하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  18. 제 17 항에 있어서,
    상기 실리콘 층은 상기 절연 링에 걸쳐 부분적으로 내향으로 연장되는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  19. 제 13 항에 있어서,
    상기 챔버에, 고정 전위의 전원에 연결된 전기 전도성 재료로 형성되는 벽을 배치하는 단계를 더 포함하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  20. 제 13 항에 있어서,
    상기 바닥 전극과 상기 상부 전극 사이의 거리는 상기 바닥 전극의 에지와 상기 상부 전극의 에지 사이의 거리로서 측정되는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  21. 제 13 항에 있어서,
    상기 바닥 전극과 상기 상부 전극 사이의 거리는 상기 바닥 전극의 표면과 상기 상부 전극의 표면 사이의 거리로서 측정되는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  22. 제 13 항에 있어서,
    상기 상부 전극의 표면은 상기 바닥 전극의 표면보다 넓은, 에칭 속도의 균일성을 향상시키기 위한 방법.
  23. 제 13 항에 있어서,
    상기 바닥 전극은 웨이퍼를 지지하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  24. 제 13 항에 있어서,
    상기 전기 절연 링은 석영을 포함하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  25. 제 13 항에 있어서,
    상기 실드는 알루미늄을 포함하는, 에칭 속도의 균일성을 향상시키기 위한 방법.
  26. 기판을 처리하도록 구성된 플라즈마 처리 챔버로서,
    고정 전위의 전원에 연결된 제 1 전극;
    저역 통과 (LP) 필터 및 고역 통과 (HP) 필터를 통해 결합된 RF 전력에 연결된 제 2 전극;
    상기 제 1 전극과 상기 제 2 전극 사이에 배치되는 구속 수단;
    상기 제 2 전극을 실질적으로 둘러싸고 상기 제 2 전극을 전기적으로 절연시키는 포커스 링;
    상기 포커스 링을 실질적으로 둘러싸는 실드; 및
    상기 실드 상에 코딩된 실리콘 층을 포함하고,
    상기 제 2 전극의 에지와 상기 실드의 에지 사이의 거리는 적어도 상기 제 2 전극과 상기 제 1 전극 사이의 거리보다 작고, 상기 실드는 상기 고정 전위의 전원에 연결된 전기 전도성 재료로 형성되는, 플라즈마 처리 챔버.
  27. 제 26 항에 있어서,
    상기 실리콘 층은 상기 포커스 링에 걸쳐 부분적으로 내향으로 연장되는, 플라즈마 처리 챔버.
  28. 제 26 항에 있어서,
    상기 구속 수단은 상기 제 2 전극에 의해 지지되는 웨이퍼 상부의 영역에 플라즈마를 구속하는, 플라즈마 처리 챔버.
  29. 제 26 항에 있어서,
    상기 실드는 상기 제 2 전극의 에지 밖으로의 전류에 대한 양호한 귀로를 제공하는, 플라즈마 처리 챔버.
  30. 제 26 항에 있어서,
    상기 챔버의 벽은 상기 고정 전위의 전원에 연결된 전기 전도성 재료로 형성되는, 플라즈마 처리 챔버.
  31. 제 26 항에 있어서,
    상기 제 2 전극과 상기 제 1 전극 사이의 거리는 상기 제 2 전극의 에지와 상기 제 1 전극의 에지 사이의 거리로서 측정되는, 플라즈마 처리 챔버.
  32. 제 26 항에 있어서,
    상기 제 2 전극과 상기 제 1 전극 사이의 거리는 상기 제 2 전극의 표면과 상기 제 1 전극의 표면 사이의 거리로서 측정되는, 플라즈마 처리 챔버.
  33. 제 26 항에 있어서,
    상기 제 1 전극의 표면은 상기 제 2 전극의 표면보다 넓은, 플라즈마 처리 챔버.
  34. 제 26 항에 있어서,
    상기 제 2 전극은 웨이퍼를 지지하는, 플라즈마 처리 챔버.
  35. 제 26 항에 있어서,
    상기 포커스 링은 석영을 포함하는, 플라즈마 처리 챔버.
  36. 제 26 항에 있어서,
    상기 실드는 알루미늄을 포함하는, 플라즈마 처리 챔버.
KR1020097022750A 2001-11-13 2002-11-13 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법 KR101094123B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US33803401P 2001-11-13 2001-11-13
US60/338,034 2001-11-13
PCT/US2002/036557 WO2003043061A1 (en) 2001-11-13 2002-11-13 Apparatus and method for improving etch rate uniformity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047007242A Division KR100964827B1 (ko) 2001-11-13 2002-11-13 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20090125217A KR20090125217A (ko) 2009-12-03
KR101094123B1 true KR101094123B1 (ko) 2011-12-15

Family

ID=23323116

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020047007242A KR100964827B1 (ko) 2001-11-13 2002-11-13 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법
KR1020097022750A KR101094123B1 (ko) 2001-11-13 2002-11-13 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020047007242A KR100964827B1 (ko) 2001-11-13 2002-11-13 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법

Country Status (6)

Country Link
US (1) US6887340B2 (ko)
EP (1) EP1446825B1 (ko)
JP (2) JP4750359B2 (ko)
KR (2) KR100964827B1 (ko)
CN (1) CN1312727C (ko)
WO (1) WO2003043061A1 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20060081337A1 (en) * 2004-03-12 2006-04-20 Shinji Himori Capacitive coupling plasma processing apparatus
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
CN102263026B (zh) * 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7837825B2 (en) 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7695633B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8674255B1 (en) * 2005-12-08 2014-03-18 Lam Research Corporation Apparatus and method for controlling etch uniformity
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
KR101313166B1 (ko) 2011-11-23 2013-09-30 엘아이지에이디피 주식회사 플라즈마 처리장치
CN103021934B (zh) * 2012-12-20 2015-10-21 中微半导体设备(上海)有限公司 一种通孔或接触孔的形成方法
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
CN106611691B (zh) * 2015-10-26 2018-10-12 中微半导体设备(上海)有限公司 多频脉冲等离子体处理装置及其处理方法和清洗方法
DE102017124682B4 (de) * 2017-10-23 2019-06-27 RF360 Europe GmbH Wafer-Träger, Verfahren zum Abtragen von Material von einer Oberseite eines Wafers und Verfahren zum Hinzufügen von Material zu einem Wafer
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
CN112614769B (zh) * 2020-12-11 2021-12-31 无锡邑文电子科技有限公司 一种碳化硅刻蚀工艺腔体装置及使用方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002519860A (ja) 1998-06-26 2002-07-02 ラム リサーチ コーポレーション プラズマ処理チャンバ内で開放プラズマを実質的に排除するためのフォーカスリング構成

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392932A (en) 1981-11-12 1983-07-12 Varian Associates, Inc. Method for obtaining uniform etch by modulating bias on extension member around radio frequency etch table
JPS6247131A (ja) * 1985-08-27 1987-02-28 Nec Corp 反応性イオンエツチング装置
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
DE3835153A1 (de) 1988-10-15 1990-04-26 Leybold Ag Vorrichtung zum aetzen von substraten durch eine glimmentladung
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
JP3260168B2 (ja) * 1991-07-23 2002-02-25 東京エレクトロン株式会社 プラズマ処理装置
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5573596A (en) 1994-01-28 1996-11-12 Applied Materials, Inc. Arc suppression in a plasma processing system
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5942042A (en) 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
JP2000030896A (ja) * 1998-07-10 2000-01-28 Anelva Corp プラズマ閉込め装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2000286242A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
KR100674624B1 (ko) * 1999-05-07 2007-01-25 동경 엘렉트론 주식회사 센서기판, 기판처리방법 및 기판처리장치
US6241477B1 (en) * 1999-08-25 2001-06-05 Applied Materials, Inc. In-situ getter in process cavity of processing chamber
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002519860A (ja) 1998-06-26 2002-07-02 ラム リサーチ コーポレーション プラズマ処理チャンバ内で開放プラズマを実質的に排除するためのフォーカスリング構成

Also Published As

Publication number Publication date
JP2010050485A (ja) 2010-03-04
CN1585997A (zh) 2005-02-23
CN1312727C (zh) 2007-04-25
KR20090125217A (ko) 2009-12-03
US6887340B2 (en) 2005-05-03
KR100964827B1 (ko) 2010-06-22
JP4750359B2 (ja) 2011-08-17
EP1446825B1 (en) 2012-10-31
US20030148611A1 (en) 2003-08-07
JP5546216B2 (ja) 2014-07-09
EP1446825A1 (en) 2004-08-18
JP2006501631A (ja) 2006-01-12
WO2003043061A1 (en) 2003-05-22
KR20050044437A (ko) 2005-05-12

Similar Documents

Publication Publication Date Title
KR101094123B1 (ko) 에칭 속도의 균일성을 향상시키기 위한 장치 및 방법
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
KR100600898B1 (ko) 플라즈마 공정 챔버 내의 초점 링 조립체
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
JP4792185B2 (ja) エッチング速度の均一性を改良するプラズマ処理チャンバ
KR100857747B1 (ko) 바람직한 rf 복귀 경로를 사용한 플라즈마 컨파인먼트
US6262538B1 (en) High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US11152192B2 (en) Plasma processing apparatus and method
KR101480738B1 (ko) 환형 배플
WO2006135924A1 (en) Improvement of etch rate uniformity using the independent movement of electrode pieces
KR102358480B1 (ko) 대면적 건식 식각처리 장치
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
JPH0794480A (ja) プラズマ処理方法及びプラズマ処理装置
JP2003158117A (ja) ダメージのないウェハードライエッチングのプラズマ処理装置
KR20230092672A (ko) 포커스 링 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171129

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee