CN1250947A - 制造双重镶嵌接触窗的方法 - Google Patents

制造双重镶嵌接触窗的方法 Download PDF

Info

Publication number
CN1250947A
CN1250947A CN98126568A CN98126568A CN1250947A CN 1250947 A CN1250947 A CN 1250947A CN 98126568 A CN98126568 A CN 98126568A CN 98126568 A CN98126568 A CN 98126568A CN 1250947 A CN1250947 A CN 1250947A
Authority
CN
China
Prior art keywords
layer
oxide layer
nitride
contact window
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98126568A
Other languages
English (en)
Other versions
CN1123920C (zh
Inventor
罗吉进
杜友伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SHIDA INTEGRATED CIRCUIT CO Ltd
Original Assignee
SHIDA INTEGRATED CIRCUIT CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SHIDA INTEGRATED CIRCUIT CO Ltd filed Critical SHIDA INTEGRATED CIRCUIT CO Ltd
Publication of CN1250947A publication Critical patent/CN1250947A/zh
Application granted granted Critical
Publication of CN1123920C publication Critical patent/CN1123920C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Abstract

一种在基底上制造双重镶嵌金属内连线以及接触窗开口结构的方法包括:在基底上依次形成第一氧化层、第一氮化物层;图案化并蚀刻第一氮化物层以形成接触窗开口;在接触窗开口及第一氮化物层上形成第二氧化层、光刻胶层;图案化并显影以暴露出与接触窗开口具有不同尺寸的沟渠开口;以光刻胶层为掩模,蚀刻第二氧化层,以第一氮化物层为掩模,蚀刻第一氧化层,形成双重镶嵌开口;移除光刻胶层;形成氧化物间隙壁、沉积导电层。

Description

制造双重镶嵌接触窗的方法
本发明涉及一种金属内连线(Metal Interconnects)与介层窗(Vias)的制造方法,且特别是涉及一种双重镶嵌接触窗(Dual Damascene Contacts)的制造方法。
镶嵌是一种在绝缘层中形成凹槽(Grooves),并将金属填入凹槽中以形成导线的内连线工艺。双重镶嵌是除了形成单一金属镶嵌的凹槽外,并且形成导电接触窗或介层窗开口的一种多层内连线工艺。
标准的双重镶嵌工艺是在导电结构上沉积第一氧化层。之后,在第一氧化层上方形成一层阻挡层。在阻挡层上形成第一图案化光致抗蚀剂层。接着,以第一图案化光致抗蚀剂层作为图案限定阻挡层,并且移除第一光致抗蚀剂层。
接着,形成一第二氧化层覆盖于阻挡层。于第二氧化层上形成一层第二图案化光致抗蚀剂层。蚀刻第一氧化层与第二氧化层以形成一个双重镶嵌开口。蚀刻第一氧化层是以阻挡层为图案,并以导电结构为蚀刻终止层。蚀刻第二氧化层则是以第二图案化光致抗蚀剂层为图案,并以硬掩模为蚀刻终止层。以氧等离子移除第二光致抗蚀剂层。然而,氧等离子会损坏在双重镶嵌开口中第一氧化层与第二氧化层暴露出来的表面。
因此,需要一种优良的形成双重镶嵌接触窗的方法。
根据本发明的一个方面,提供一种在基底上制造双重镶嵌金属内连线以及接触窗开口结构的方法,其步骤包括:在该基底上形成一第一氧化层;在该第一氧化层上形成一第一氮化物层;图案化并蚀刻该第一氮化物层以形成一接触窗开口;在该接触窗开口及该第一氮化物层上形成一第二氧化层;在该第二氧化层上形成一光致抗蚀剂层;图案化并显影该光致抗蚀剂层以暴露出与该接触窗开口具有不同尺寸的一沟渠开口;以该光致抗蚀剂层为掩模,蚀刻该第二氧化层,并且以该第一氮化物层为掩模,蚀刻该第一氧化层,以形成一双重镶嵌开口;移除该光致抗蚀剂层;在该双重镶嵌开口中形成一氧化物间隙壁;以及在该双重镶嵌开口中沉积一导电层。
根据本发明的另一方面,提供一种在一基底上形成双重镶嵌结构的方法,包括下列步骤:在该基底上形成一衬氧化层;在该衬氧化层上形成一第一低介电常数介电层;在该第一低介电常数介电层上形成一盖氧化层;在该盖氧化层上形成一第一氮化物层;图案化并蚀刻该第一氮化物层以形成一接触窗开口;形成一第二低介电常数介电层至该接触窗开口及覆盖于该第一氮化物层;在该第二低介电常数介电层上形成一光致抗蚀剂层;图案化并显影该光致抗蚀剂层以暴露出与该接触窗开口具有不同尺寸的一沟渠开口;以该光致抗蚀剂层为掩模,蚀刻该第二低介电常数介电层,并且以该第一氮化物层为掩模,蚀刻该盖氧化层,该第一低介电常数介电层以及该衬氧化层,以形成一双重镶嵌开口;移除该光致抗蚀剂层;在该双重镶嵌开口中形成一氧化物间隙壁;以及在该双重镶嵌开口中沉积一导电层。
为使本发明的上述和其他目的、特征和优点能更明显易懂,下文特举一优选实施例,并配合附图作详细说明,其中:
图1至图6绘示根据本发明的一种在半导体基底上形成以重镶嵌开口的剖面示意图。
本发明将配合附图做详细说明。本发明提供一种形成双重镶嵌开口的方法。
请参照图1,在基底100上方已形成有导电结构102。“基底”一词应了解可能包括一个半导体晶圆,形成于晶圆上的有源元件与无源元件以及晶圆表面的薄膜层。因此,“基底”一词代表包括形成于半导体晶圆上的元件以及覆盖晶圆上的薄膜层。
导电结构102通常可为金属内连线或其他的导体结构。图中显示的导电结构102仅为例示,而非用以限制。
根据本发明,沉积一层衬氧化层104覆盖于基底100与导电结构102上。衬氧化层104的材质以二氧化硅为优选,其厚度大约为300埃至800埃,而且以现有的等离子加强化学气相沉积法(Plasma-Enhanced ChemicalVapor Deposition)形成为优选。衬氧化层104的作用为提供直接覆盖在导电结构102上的高品质绝缘体。
接着,以现有的技术在衬氧化层104上涂布一层第一低介电常数介电层106,低介电常数材质比如为旋涂式玻璃(Spin-On-Glass;SOG)。第一低介电常数介电层106的厚度以大约2000埃至8000埃为优选。之后,对第一低介电常数介电层106进行固化(Curing)步骤,以减少在后续步骤中移除光致抗蚀剂层时,第一低介电常数介电层106之水气吸收,并去除旋涂式玻璃薄膜中的溶剂,其中,光致抗蚀剂层是在后续掩模及蚀刻氧化层时使用。由于固化的步骤为现有方法,因此本文不再详加讨论。
在第一低介电常数介电层106上方形成一层绝缘层108(即为盖氧化层)。盖氧化层108的材质以二氧化硅为优选,而其形成的方法比如为等离子加强化学气相沉积技术。盖氧化层的厚度以大约4000埃至7000埃为优选。此外,对盖氧化层108作平坦化工艺以达到全面平坦的效果。平坦化的方法优选为使用化学机械研磨法(Chemical-Mechanical Polishing)。
之后,在盖氧化层108上形成一层第一氮化物层110。第一氮化物层110的厚度优选约500至1500埃,且优选为以等离子加强化学气相沉积法形成。另外,此第一氮化物层基本上由氮化硅(Si3N4)形成。
接着,在第一氮化物层110上涂布一层第一光致抗蚀剂层112,并进行显影,以形成接触窗开口114。此光致抗蚀剂层112具有的图案与欲形成接触窗114的位置一致。
请参照图2,在第一氮化物层110中形成一接触窗开口116。接触窗开口116虽然可为任意形状,但是以圆柱形为优选。光致抗蚀剂层112在蚀刻第一氮化物层110时作为掩模。
请参照图3,移除第一光致抗蚀剂层112。利用现有的技术,比如为旋涂式玻璃涂布法,沉积一层第二低介电常数介电层118至接触窗开口116及在第一氮化物层110上。第二低介电常数介电层118的厚度以2000埃至8000埃为优选。之后,对第二低介电常数介电层118进行固化(Curing)步骤,以减少在后续步骤中移除在蚀刻氧化层时作为掩模的光致抗蚀剂层时,第二低介电常数介电层118之水气吸收,并去除旋涂式玻璃薄膜中的溶剂。
接着,在第二低介电常数介电层118上形成一层第二氮化物层120。形成此第二氮化物层120的优选厚度约为500埃至1500埃,且优选为以等离子加强化学气相沉积法所沉积的氮化硅。
接着,在第二低电常数介电层120上涂布一层第二光致抗蚀剂层122。将此第二光致抗蚀剂层122进行图案化以及显影的步骤,以使沟渠开口124暴露出来。
请参照图4,蚀刻衬氧化层104、第一低介电常数介电层106、盖氧化层108、第二低介电常数介电层118以及第二氮化物层120,以形成一个双重镶嵌窗口126。其中,第二氮化硅层118与第二氮化物层120是以第二光致抗蚀剂层122为掩模,并以第一氮化物层110为蚀刻终止层进行蚀刻。而蚀刻第一低介电常数介电层106、衬氧化层104以及盖氧化层108的步骤则是以第一氮化物层110为掩模,并以导电结构102作为蚀刻终止层进行。
请参照图5,移除第二光致抗蚀剂层122。以化学气相沉积(CVD)法,沉积一层氧化层128至双重镶嵌开口126中并覆盖于第二氮化物层120上。其中,CVD氧化层128的形成以高密度氧等离子化学气相沉积法(HDPCVD)为优选。此CVD氧化层128厚度则以介于大约300埃至1000埃之间为优选。
请参照图6,蚀刻CVD氧化层128如以反应性离子蚀刻法,以在沿着双重镶嵌开口126的侧壁形成氧化物间隙壁128a。间隙壁128a防止第一低介电常数介电层106与第二低介电常数介电层118的出气(Outgassing)现象。
如此就完成了双重镶嵌开口。之后,沉积一层导电层以形成金属内连线结构,这个步骤为现有的方法,因此不再赘述。
虽然本发明已以一优选实施例说明如上,可了解任何在不脱离本发明之精神和范围内可作各种更动与润饰。

Claims (11)

1.一种在基底上制造双重镶嵌金属内连线以及接触窗开口结构的方法,其步骤包括:
在该基底上形成一第一氧化层;
在该第一氧化层上形成一第一氮化物层;
图案化并蚀刻该第一氮化物层以形成一接触窗开口;
在该接触窗开口及该第一氮化物层上形成一第二氧化层;
在该第二氧化层上形成一光致抗蚀剂层;
图案化并显影该光致抗蚀剂层以暴露出与该接触窗开口具有不同尺寸的一沟渠开口;
以该光致抗蚀剂层为掩模,蚀刻该第二氧化层,并且以该第一氮化物层为掩模,蚀刻该第一氧化层,以形成一双重镶嵌开口;
移除该光致抗蚀剂层;
在该双重镶嵌开口中形成一氧化物间隙壁;以及
在该双重镶嵌开口中沉积一导电层。
2.如权利要求1的方法,其中,该形成氧化物间隙壁的步骤包括:
在该双重镶嵌开口中沉积一第三氧化层;以及
回蚀刻该第三氧化层。
3.如权利要求1的方法,其中还包括在该第二氧化层以及该光致抗蚀剂层之间形成一第二氮化物层的步骤。
4.如权利要求3的方法,其中该第一氮化物层与该第二氮化物层是由氮化硅所形成。
5.如权利要求1的方法,其中该第一氧化层包括由一衬氧化层、一低介电常数介电层以及一盖氧化层所组成。
6.一种在一基底上形成双重镶嵌结构的方法,包括下列步骤:
在该基底上形成一衬氧化层;
在该衬氧化层上形成一第一低介电常数介电层;
在该第一低介电常数介电层上形成一盖氧化层;
在该盖氧化层上形成一第一氮化物层;
图案化并蚀刻该第一氮化物层以形成一接触窗开口;
形成一第二低介电常数介电层至该接触窗开口及覆盖于该第一氮化物层;
在该第二低介电常数介电层上形成一光致抗蚀剂层;
图案化并显影该光致抗蚀剂层以暴露出与该接触窗开口具有不同尺寸的一沟渠开口;
以该光致抗蚀剂层为掩模,蚀刻该第二低介电常数介电层,并且以该第一氮化物层为掩模,蚀刻该盖氧化层,该第一低介电常数介电层以及该衬氧化层,以形成一双重镶嵌开口;
移除该光致抗蚀剂层;
在该双重镶嵌开口中形成一氧化物间隙壁;以及
在该双重镶嵌开口中沉积一导电层。
7.如权利要求6的方法,其中该方法还包括一固化该第一以及该第二低介电常数介电层的步骤。
8.如权利要求6的方法,其中该方法还包括在该第二低介电常数介电层以及该光致抗蚀剂层之间形成一第二氮化物层的步骤。
9.如权利要求8的方法,其中该第一以及该第二氮化物层由氮化硅所形成。
10.如权利要求6的方法,其中该盖氧化层是由二氧化硅所形成。
11.如权利要求6的方法,其中该氧化物间隙壁是以一高密度等离子化学气相沉积法所形成的二氧化硅。
CN98126568A 1998-10-13 1998-12-30 制造双重镶嵌接触窗的方法 Expired - Lifetime CN1123920C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US170859 1998-10-13
US09/170,859 US5916823A (en) 1998-10-13 1998-10-13 Method for making dual damascene contact

Publications (2)

Publication Number Publication Date
CN1250947A true CN1250947A (zh) 2000-04-19
CN1123920C CN1123920C (zh) 2003-10-08

Family

ID=22621573

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98126568A Expired - Lifetime CN1123920C (zh) 1998-10-13 1998-12-30 制造双重镶嵌接触窗的方法

Country Status (3)

Country Link
US (1) US5916823A (zh)
CN (1) CN1123920C (zh)
TW (1) TW410425B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299097A (zh) * 2010-06-28 2011-12-28 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
CN102412196A (zh) * 2011-09-15 2012-04-11 上海华力微电子有限公司 金属铜大马士革互联结构的制造方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW374224B (en) * 1998-04-03 1999-11-11 United Microelectronics Corp Dual damascene process for manufacturing low k dielectrics
US6015751A (en) * 1998-04-06 2000-01-18 Taiwan Semiconductor Manufacturing Company Self-aligned connection to underlayer metal lines through unlanded via holes
US6175147B1 (en) * 1998-05-14 2001-01-16 Micron Technology Inc. Device isolation for semiconductor devices
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
TW377492B (en) * 1998-06-08 1999-12-21 United Microelectronics Corp Method of manufacturing dual damascene
US6103616A (en) * 1998-08-19 2000-08-15 Advanced Micro Devices, Inc. Method to manufacture dual damascene structures by utilizing short resist spacers
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6121141A (en) * 1998-11-24 2000-09-19 Advanced Micro Devices, Inc. Method of forming a void free copper interconnects
US6291887B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US20010013660A1 (en) * 1999-01-04 2001-08-16 Peter Richard Duncombe Beol decoupling capacitor
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6380091B1 (en) * 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6207577B1 (en) * 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
TW445581B (en) * 1999-03-03 2001-07-11 Taiwan Semiconductor Mfg Manufacturing method of metal interconnect
TW404010B (en) * 1999-03-04 2000-09-01 United Microelectronics Corp The manufacture method of dual-damascene
JP3236576B2 (ja) * 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US6342419B1 (en) * 1999-04-19 2002-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. DRAM capacitor and a method of fabricating the same
US6114233A (en) * 1999-05-12 2000-09-05 United Microelectronics Corp. Dual damascene process using low-dielectric constant materials
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
EP1077475A3 (en) * 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6309962B1 (en) * 1999-09-15 2001-10-30 Taiwan Semiconductor Manufacturing Company Film stack and etching sequence for dual damascene
US6156655A (en) * 1999-09-30 2000-12-05 United Microelectronics Corp. Retardation layer for preventing diffusion of metal layer and fabrication method thereof
US6281134B1 (en) * 1999-10-22 2001-08-28 United Microelectronics Corp. Method for combining logic circuit and capacitor
US6329281B1 (en) 1999-12-03 2001-12-11 Agere Systems Guardian Corp. Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
US6245683B1 (en) 1999-12-28 2001-06-12 Taiwan Semiconductor Manufacturing Company Stress relieve pattern for damascene process
US6197681B1 (en) * 1999-12-31 2001-03-06 United Microelectronics Corp. Forming copper interconnects in dielectric materials with low constant dielectrics
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6465343B1 (en) * 2001-02-28 2002-10-15 Advanced Micro Devices, Inc. Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
WO2002071476A2 (en) * 2001-03-06 2002-09-12 Advanced Micro Devices, Inc. Method of forming conductive interconnections in porous insulating films and associated device
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6768204B1 (en) * 2001-04-05 2004-07-27 Advanced Micro Devices, Inc. Self-aligned conductive plugs in a semiconductor device
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6440847B1 (en) * 2001-04-30 2002-08-27 Taiwan Semiconductor Manufacturing Company Method for forming a via and interconnect in dual damascene
US6878615B2 (en) 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US6678950B1 (en) * 2001-11-01 2004-01-20 Lsi Logic Corporation Method for forming a bonding pad on a substrate
US6495448B1 (en) * 2002-06-07 2002-12-17 Silicon Integrated Systems Corp. Dual damascene process
JP2004014841A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US7208418B1 (en) 2003-12-08 2007-04-24 Advanced Micro Devices, Inc. Sealing sidewall pores in low-k dielectrics
KR100641553B1 (ko) * 2004-12-23 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자에서 패턴 형성 방법
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
CN102412188A (zh) * 2011-05-13 2012-04-11 上海华力微电子有限公司 一种超厚顶层金属的金属硬掩模双大马士革工艺

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5130268A (en) * 1991-04-05 1992-07-14 Sgs-Thomson Microelectronics, Inc. Method for forming planarized shallow trench isolation in an integrated circuit and a structure formed thereby
US5811865A (en) * 1993-12-22 1998-09-22 Stmicroelectronics, Inc. Dielectric in an integrated circuit
US5543343A (en) * 1993-12-22 1996-08-06 Sgs-Thomson Microelectronics, Inc. Method fabricating an integrated circuit
US5429977A (en) * 1994-03-11 1995-07-04 Industrial Technology Research Institute Method for forming a vertical transistor with a stacked capacitor DRAM cell
US5548159A (en) * 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5595927A (en) * 1995-03-17 1997-01-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for making self-aligned source/drain mask ROM memory cell using trench etched channel
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
US5792687A (en) * 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299097A (zh) * 2010-06-28 2011-12-28 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
CN102299097B (zh) * 2010-06-28 2014-05-21 中芯国际集成电路制造(上海)有限公司 一种金属连线刻蚀方法
CN102412196A (zh) * 2011-09-15 2012-04-11 上海华力微电子有限公司 金属铜大马士革互联结构的制造方法

Also Published As

Publication number Publication date
CN1123920C (zh) 2003-10-08
US5916823A (en) 1999-06-29
TW410425B (en) 2000-11-01

Similar Documents

Publication Publication Date Title
CN1123920C (zh) 制造双重镶嵌接触窗的方法
KR100288496B1 (ko) 집적회로구조체의구리오염방지방법
US6162583A (en) Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
KR100307490B1 (ko) 반도체 장치의 기생 용량 감소 방법
US5925932A (en) Borderless vias
CN1093688C (zh) 介层窗的制造方法
CN1106033C (zh) 层间介电层平坦化制造方法
US6531755B1 (en) Semiconductor device and manufacturing method thereof for realizing high packaging density
CN1154186C (zh) 半导体器件的金属化
US6350682B1 (en) Method of fabricating dual damascene structure using a hard mask
KR100267408B1 (ko) 반도체 장치 및 그 제조 방법
US6693028B2 (en) Semiconductor device having multilayer wiring structure and method for manufacturing the same
US6107686A (en) Interlevel dielectric structure
US6097090A (en) High integrity vias
KR100253311B1 (ko) 반도체 소자의 평탄화 방법
CN1207771C (zh) 使用氧化线层作为介电阻挡层的双镶嵌制程
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
CN1428839A (zh) 积体电路的双镶嵌结构的制作方法
CN1229268A (zh) 金属层间介电层及其制造方法
KR100652358B1 (ko) 듀얼 다마신 형성방법
KR100289672B1 (ko) 자기배열된언랜디드비아의금속화방법
CN1248059A (zh) 平坦的金属层间介电层或内层介电层的制造方法
KR100244713B1 (ko) 반도체 소자의 제조방법
KR20000076668A (ko) 반도체 장치의 제조 방법
KR20040011875A (ko) 반도체 장치의 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Applicant after: Taiwan Semiconductor Manufacturing Co., Ltd.

Applicant before: Shida Integrated Circuit Co., Ltd.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: SHIDA INTEGRATED CIRCUIT CO., LTD. TO: TAIWAN SEMICONDUCTOR MFG

SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20031008