CN1221017C - 改进的氟掺杂二氧化硅薄膜 - Google Patents

改进的氟掺杂二氧化硅薄膜 Download PDF

Info

Publication number
CN1221017C
CN1221017C CNB008165025A CN00816502A CN1221017C CN 1221017 C CN1221017 C CN 1221017C CN B008165025 A CNB008165025 A CN B008165025A CN 00816502 A CN00816502 A CN 00816502A CN 1221017 C CN1221017 C CN 1221017C
Authority
CN
China
Prior art keywords
silicon
nitrogen
fluorine
film
sull
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB008165025A
Other languages
English (en)
Other versions
CN1451177A (zh
Inventor
C·-H·蔡
J·布姆加纳
T·维尔克
M·波斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nomonks GmbH
Micron Technology Inc
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1451177A publication Critical patent/CN1451177A/zh
Application granted granted Critical
Publication of CN1221017C publication Critical patent/CN1221017C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明是一种介质薄膜及其制作方法。本发明的介质薄膜包括硅、氧、氟和氮,其中层间介质包括0.01-0.1原子百分比的氮。

Description

改进的氟掺杂二氧化硅薄膜
技术领域
本发明涉及半导体集成电路生产领域,更具体地,涉及一种包含硅氧化物的氟掺杂氮介质薄膜。
背景技术
随着为制造集成度越来越高的集成电路而不断减小器件的形体尺寸,芯片上金属线之间的阻容(RC)延时及相互干扰已经成为实现高速度电路的主要限制。减少RC延时和相互干扰的方法之一是利用低介电常数的金属间介质。氟掺杂二氧化硅(SiO2)由于其的低介电常数和易于集成为通用的互联方式而被建议用作一种金属间电介质。
目前,为了满足亚微米级工艺的空隙填充要求而形成氟掺杂SiO2层的方法是利用高密度的等离子体。在这样的工艺中,硅和氟的混合气体、氧气和氩被充入等离子体室。氩被添加到高密度的等离子体室中,以获得高溅蚀密度和良好的空隙填充。然而,已经发现氩作为一种溅蚀气体使用会导致氟掺杂SiO2薄膜的不稳定,并表现出粘着性差。已经发现氩和不稳定氟类物质(species)会陷入间隙位置,从而当氩和氟类物质在温度升高时从氟掺杂SiO2薄膜中还原出来时,导致薄膜附着问题。
发明内容
本发明是一种介质薄膜,包括硅、氧、氟和氮,其中介质薄膜包括0.01-0.1原子百分比的氮。
附图说明
图1是说明半导体基片的横剖面图,包括含有硅氧化物薄膜的氟掺杂氮。
图2是说明高密度等离子体反应器的俯视图,可以用来沉积含有本发明的硅氧化物薄膜的氟掺杂氮。
图3是说明表示在图1所示基片上形成含有本发明的硅氧化物薄膜的氟掺杂氮的横剖面图。
图4是说明在图3所示基片上平面化和形成通道口的横剖面图。
图5是说明用导电材料填充图4所示基片上通道口的横剖面图。
图6是说明在图5所示基片上形成第二金属层的横剖面图。
具体实施方式
本发明是一种低介电常数薄膜及其制作方法。在下面的描述中,陈述很多具体细节,以提供对本发明全面的理解。应当理解的是这些具体细节仅仅是对本发明实施例的说明性描述,而不必作为一种限制。此外,在其它方面,对众所周知的半导体制作工艺和材料没有做具体细节的描述,使得本发明不至于模糊不清。
本发明是关于一种包含硅氧化物介质的低介电常数氟掺杂氮及其制作方法。本发明的电介质非常适合在半导体集成电路制作中作为金属间电介质。本发明的电介质薄膜包括硅、氧、粉糖剂和氮。介质薄膜包括大约33%微粒的硅,0.01~0.1原子百分比的氮,3~10原子百分比氟,其余的是氧。本发明的介质薄膜表现出小于4.0的介电常数,典型的范围是3.2~3.7。介质薄膜可以通过使用生产气体混合物的高密度等离子体(HDP)处理而形成,所述生产气体混合物包括硅合和氟的化合物,如SiF4’、含氧气体如含O2、以及含氮气体如N2。在HDP处理中利用含氮气体如氮气N2作为溅蚀气体,可以把氮结合到氟掺杂的硅氧化薄膜中,从而通过降低吸湿力来提高薄膜的稳定性。此外,由于金属和结合到薄膜中的氮之间的相互作用,薄膜很好地附着在金属上。同时,由于薄膜可以通过高密度等离子体处理来形成,所以它可以填充高纵横比的空隙或者缺口。
包含本发明的二氧化硅薄膜的氟掺杂氮非常适合在半导体集成电路制作中用作金属间介质。在为半导体器件制作金属间介质的过程中提供一种基片,如图1所示基片100。基片100是部分制造完成的集成电路,包括多个有源器件102,如金属氧化物半导体(MOS)晶体管。一个MOS器件102包括在单晶硅基片106上形成的一对源极/漏极区域104,还包括在该硅基片106上形成的栅极隔离层108和在栅极介质108上形成的栅极电极110。在硅基片106上形成场隔离区域112以隔离紧邻的MOS晶体管。金属触点114,比如可能包括也可能不包括阻挡层金属的钨触点,通过电介质113在金属化的第一层的金属线116和下层的MOS器件之间提供导电连结。
本发明描述了关于为了把金属薄膜第一层(如金属1)的金属互连线116从金属薄膜第二层(如金属2)中隔离出来而在基片100上形成金属间介质。应当理解的是本发明同样适用于金属薄膜其它层间的隔离,如金属2和金属3之间,金属3和金属4之间等。由于本发明金属间介质具有良好的空隙填充特性,所以本发明可以用来形成金属线102之间的小空隙118之间的介质。这样,可以将金属线或特性用最小设计规则分离,从而使得可以制作高密度集成电路。本发明的低K介质薄膜可以用来填充宽度小于0.25μM和纵横比大到3∶1的空隙(纵横比=高∶宽)。
应当理解本发明的方法可以用来在其它类型半导体基片上沉积介质薄膜,例如在制作存贮器件如DRAM和EEPROM或其它类型的逻辑器件如EPGA器件和ASCIC器件中所使用的半导体基片上沉积介质薄膜,也可以使用在其它类型的基片上,如用于平面面板显示基片。
本发明中的一种实施例中,在一个高密度等离子体反应器中形成包含本发明的硅氧化物薄膜的低介电常数的氟掺杂氮。这种反应器的一个实例是图2所示的LAM研究公司EPIC ECR等离子体CVD反应器。另外一个合适的HDP反应器的实例是LAM DSM9900反应器。图2所示的高密度等离子体反应器200包括一个等离子体发生室202,它从微波发生源204接收微波(2.45GHz)。等离子体室202周围是ECR磁体206。通过气体入口208提供包括硅-氟化合物如SiF4’、含氧气体如O2、和含氮气体如N2的生产气体混合物给等离子体室202,在该离子体室202中,这些气体混合物暴露在微波下以产生等离子体。高密度等离子体反应器200包括一个位于加工室区域212的硅片夹盘210。该硅片或基片通过高能离子轰击(等离子体加热)而被加热。夹盘和基片的温度由后面的氦冷却来控制。真空源214,如涡轮分子泵,连接到加工室212,使得室中的压力在沉积时可以减小到大气压之下,如1.0~10毫托。硅片夹盘210可以接收RF偏置去激活离子轰击,这样会产生更好的蚀刻,从而使其具有填充高纵横比缺口的能力而不会虚填。此外,辅助整形磁体216可放在硅片夹盘210的下面以便帮助提取和导引离子到硅片表面。
为了在HDP反应器200中沉积包含二氧化硅薄膜的氟掺杂氮,根据本发明,将基片,如图1所示的基片100,放置在加工室216中的夹盘210的上表面。然后室212中的总压力减小到1.0-10毫托,优选地在1.0~5毫托之间,理想值为2毫托。在维持该沉积压力时,包括硅-氟化合物、含氧气体、和含氮气体的生产气体混和物被送入到等离子体室。在本发明的优选实施例中,硅-氟化合物是四氟化硅(SiF4),然而,如SiH2F2这样的其它的硅氟化物也可以使用。在本发明的优选实施例中,含氧气体是O2,然而,如N2O这样的其它的含氧气体也可以使用。在本发明的优选实施例中,含氮气体是N2,然而,如N2O这样的其它的含氮气体也能使用。
生产气体混和物在等离子体室202中暴露在微波下,在等离子体室202中,含氧气体分裂来提供氧基,硅-氟化合物分裂来提供硅-氟基,含氮气体分裂来提供氮基。1500-2000瓦特之间的微波能量可以用于发离生产气体。然后,硅氟基和氧基给合形成掺杂氟的二氧化硅薄膜。此外,因为氮(N2)包括在生产气体混和物中,所以会形成氮基,并被结合到薄膜中。通过基的高能离子轰击基片可以加热基片。在沉积过程中基片温度通过背后冷却维持在300-450℃,优选温度为大约400℃。生产气体混合物持续地被送入沉积室,总压力和温度一直维持,直到掺杂氟的二氧化硅薄膜和正在结合的氮沉积到期望的厚度。
选择合适的硅-氟化合物的流动速率和部分压力、含氧气体和含氮气体来产生具有硅、氧、氟和氮的合理成分的介质薄膜120。在本发明的一种实施例中,介质薄膜是一种硅氧化物薄膜,含大约33%粒子的硅,3%~10%粒子的氟,0.01~0.1%粒子的氮,剩余的是氧。这样一种薄膜可以表现3.2-3.7之间的极低介电常数。应当理解,如果需要,更多数量的氮气可以包括在薄膜中,然而,增加氮的量会提高结合在层间介质中的硅氮化物量,这样会提高薄膜介电常数。应当理解,形成具有小于二氧化硅(4.0)的介电常数的贵氧化物层间电介质是理想的。此外,应当指出的是本发明的方法产生一种电介质薄膜,该薄膜基本上是二氧化硅薄膜,除非在晶体点阵中的各种氧的位置,氮或氟代替氧原子。此外,一些N2可以被结合到点阵中的空隙中。
为了产生一种含3%-10%粒子的氟和0.01%-0.1%粒子的氮的薄膜,可以将四氟化硅(SiF4)以10-100sccm的速率且优选速率为50sccm送入反应器200以产生0.1-1.0毫托之间的硅-氟化合物部分压力,可以将O2以100-200sccm的速率送入到等离子体室202以产生1-2毫托之间的O2部分压力,可以将氮气以10-30sccm的速率送入到等离子体室202去产生0.1-0.2毫托的N2部分压力,总压力维持在1.0-10毫托之间,且优选值在1~5毫托之间,理想值大约为2毫托。在本发明的一种实施例中,含氧气体力和含氮气体的部分压之比至少为5∶1。
在本发明的一种实施例中,含氧气体、含氮气体和氩气或者它们的组合在首先送入到等离子体室(没有硅氟化合物或硅源气体),以便在任何沉积之前加热基片到期望的沉积温度。一旦达到沉积温度,包括硅氟化合物、含氧气体和含氮气体的生产气体混合物就被送入到等离子体室,这时沉积开始。应当指出的是在沉积过程中,是否能够包括期望的氩气到生产气体混合物中。此外,在本发明的一种实施例中,生产气体混合物的硅氟化合物组分可以由硅氟化合物和硅源气体组成,如SiH4和乙硅烷Si2H6,但不局限于此。
包括本发明硅氧化物薄膜120的氟掺杂氮被沉积直到形成足够厚的薄膜,它可以将第一层的金属从相邻的金属层(如金属2)隔离。在本发明的一种实施例中,介质层120沉积到大约1.0-3.0微米的厚度。
沉积之后,介质层120可以通过任何已知的方法被平面化,如通过化学机制平面化,或通过等离子体蚀刻形成平整上表面122,如图4所示。然后利用众所周知的光刻和蚀刻技术,在介质层120中形成通道口124。可以利用任何已知的二氧化硅蚀刻剂和蚀刻技术如C2F8等离子体蚀刻各向异性地蚀刻包括本发明的硅氧化物薄膜的氟掺杂氮。此外,薄膜120可以用HF进行湿蚀刻。
如图5所示,通道口126用金属导体,如钨,进行填充来形成导电通道126。导电通道126可以通过用覆盖法在ILD122上和通道口124中沉积导电薄膜如钨而形成。然后通过如化学机制平面化或等离子体蚀刻,导电薄膜可以从ILD120的平整上表层122中去掉,来形成导电通道126。应当理解的是其它技术,如电镀术,和其它金属,如铝或铜,但不局限于这些,也可以被用来形成导电通道126。此外,导体126可以包括也可以不包括阻挡层128。
然后,金属互连128的第二层(如金属2)在ILD120上形成,并如图6所示和导电通道126接触。互连129通过在ILD122之上用覆盖法沉积或例如溅蚀沉积像铝这样的金属导体及其期望的阻挡层金属而形成。然后,通过众所周知的光刻和蚀刻技术,覆盖法沉积的金属导体被制作成互连线128。应当指出,因为氮结合到ILD120中,所以金属线128和ILD120的附着性得以改善。
尽管以上描述了ILD120上形成通道作为互连的技术,其它众所周知的技术,如镶嵌和双重镶嵌技术(dual damascene),如果需要的话,也可以使用。上面描述的含硅氧化物薄膜的氟掺杂氮的形成工艺和通道/互连的形成工艺可以继续用来提供期望的另外的金属层和隔离层。
以上描述了形成低介电常数的氟掺杂含氮二氧化硅介质的方法。介质薄膜表现出低的介电常数(小于4.0),从而减少了芯片上的阻容(RC)延时和相邻金属线(如,线116)之间和金属层(如金属1和金属2)之间的电容藕合。介质薄膜120能被沉积到一个高纵横比的缺口中(纵横比高达3.5∶1)。此外,因为薄膜包括少量的氮,因而表现出很好的抗潮性,因此薄膜的质量及特性都很稳定。应当指出,尽管含有本发明的硅氧化物薄膜的氟渗杂氮非常适合作为独立的ILD以分离各层金属,但如果需要,ILD120还可以用来形成一部分,如ILD的上部或下部。本发明可以用在任何要求低介电常数(小于4.0)高质量抗潮电介质的场合。

Claims (27)

1.一种层间电介质,所述的层间电介质包括以下成份:
硅-氧-氟-氮,其中所述的层间电介质包括0.01-0.1原子百分比的氮。
2.权利要求1的层间电介质,其中所述的层间电介质包括3-10原子百分比的氟。
3.一种集成电路,所述的集成电路包括:
一个基片;
在所述的基片之上形成的图案化金属层;和
在所述的图案化金属层之上形成的层间电介质,其中所述的层间电介质包括硅、氧、氟和氮,且其中所述的层间电介质包括0.010-0.10原子百分比的氮。
4.权利要求3的集成电路,其中所述的层间电介质包括3-10原子百分比的氟。
5.一种形成集成电路的方法,包括步骤:
在基片上形成图案化的金属层;
在所述的图案化金属层上形成包括硅-氧化物-氮-氟薄膜的电介质薄膜,其中所述电介质薄膜含有0.01-0.10原子百分比的氮。
6.权利要求5的方法,其中所述电介质有3-10原子百分比的氟。
7.一种形成氟-硅-氧化氮薄膜的方法,包括:
给沉积室提供硅氟化合物;
给所述的沉积室提供含氧气体;
给所述的沉积室提供含氮气体;和
由所述的硅氟化合物、所述的含氧气体、所述的含氮气体形成包括氟-硅-氧化物薄膜的电介质薄膜,其中所述电介质薄膜含有0.01-0.1原子百分比的氮。
8.权利要求7的方法,其中所述的硅氟化合物是SiF4
9.权利要求7的方法,其中所述的含氧气体是O2
10.权利要求7的方法,其中所述的含氮气体是N2
11.权利要求7的方法,其中所述的沉积室是高密度的等离子体室。
12.权利要求7的方法,其中所述的基片在形成所述的氟-硅-氧化物薄膜时,被加热到300-450℃的温度。
13.权利要求7的方法,其中所述的沉积室在形成所述的氟-硅-氧化物薄膜时,维持1.0-10毫托的压力。
14.权利要求7的方法,其中所述的硅-氟化合物在所述的氟-硅-氧化物薄膜的所述形成过程中,在所述沉积室中有0.1-1.0毫托的部分压力。
15.权利要求7的方法,其中所述的含氮气体在氟掺杂硅氧化物薄膜形成过程中有0.1-0.2毫托的部分压力。
16.权利要求7的方法,其中所述的含氧气体的部分压力和所述的含氮气体的部分压力之比是5∶1。
17.权利要求7的方法,其中所述的氟掺杂硅氧化物薄膜包括0.010-0.10原子百分比的氮。
18.权利要求7的方法,其中所述的氟-硅-氧化物薄膜包括3-10原子百分比的氟。
19.一种在形成于基片之上的图案化金属层之上形成电介质的方法,包括:
给包括所述的基片的沉积室提供SiF4
给所述的沉积室提供O2
给所述的沉积室提供N2;和
通过分解所述的SiF4,所述的O2和所述的N2,在所述的图案化金属层上形成电介质,该电介质包括氟-硅-氧化物薄膜,其中所述电介质包含0.01-0.1原子百分比的氮。
20.权利要求19的方法,还包括在形成所述的氟-硅-氧化物薄膜时,加热所述的基片到300-450℃的温度这一步骤。
21.权利要求19的方法,还包括在沉积所述的氟-硅-氧化物薄膜时,在所述的沉积室产生1.0-10毫托的压力这一步骤。
22.权利要求19的方法,其中在沉积所述的薄膜时,提供给所述的室的O2至少是N2的5倍。
23.权利要求19的方法,还包括当沉积所述的薄膜时,提供氩到所述的沉积室。
24.权利要求19的方法,其中当沉积所述的薄膜时,不提供氩给所述的室。
25.权利要求19的方法,还包括当提供N2和O2而不提供SiF4给所述的室的时候,把所述的基片从第一温度加热到沉积温度。
26.权利要求19的方法,还包括除了所述的SiF4,提供硅源气体到所述的沉积室。
27.权利要求26的方法,其中所述的硅源气体是SiH4
CNB008165025A 1999-11-30 2000-10-11 改进的氟掺杂二氧化硅薄膜 Expired - Lifetime CN1221017C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45146499A 1999-11-30 1999-11-30
US09/451,464 1999-11-30

Publications (2)

Publication Number Publication Date
CN1451177A CN1451177A (zh) 2003-10-22
CN1221017C true CN1221017C (zh) 2005-09-28

Family

ID=23792324

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008165025A Expired - Lifetime CN1221017C (zh) 1999-11-30 2000-10-11 改进的氟掺杂二氧化硅薄膜

Country Status (8)

Country Link
US (1) US20030209805A1 (zh)
CN (1) CN1221017C (zh)
AU (1) AU1197501A (zh)
DE (1) DE10085212B4 (zh)
GB (1) GB2373372B (zh)
HK (1) HK1046331B (zh)
TW (1) TWI226100B (zh)
WO (1) WO2001041203A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024698A (ja) * 2004-07-07 2006-01-26 Toshiba Corp 半導体装置及びその製造方法
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7737020B1 (en) * 2005-12-21 2010-06-15 Xilinx, Inc. Method of fabricating CMOS devices using fluid-based dielectric materials
US20070190711A1 (en) * 2006-02-10 2007-08-16 Luo Tien Y Semiconductor device and method for incorporating a halogen in a dielectric
US20100109085A1 (en) * 2008-11-05 2010-05-06 Seagate Technology Llc Memory device design
US8022547B2 (en) 2008-11-18 2011-09-20 Seagate Technology Llc Non-volatile memory cells including small volume electrical contact regions
JP5224012B2 (ja) * 2010-12-08 2013-07-03 日新電機株式会社 シリコン酸窒化膜の形成方法及び半導体デバイス
TWI509692B (zh) * 2013-12-26 2015-11-21 Macronix Int Co Ltd 半導體元件及其製造方法
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
JPH0878408A (ja) * 1994-09-08 1996-03-22 Fujitsu Ltd 半導体装置の製造方法
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JPH08335579A (ja) * 1995-06-07 1996-12-17 Sony Corp フッ素を含むシリコン系酸化膜およびその製造方法
CN1150624C (zh) * 1995-12-08 2004-05-19 株式会社日立制作所 半导体集成电路器件及其制造方法
JP2991657B2 (ja) * 1996-04-05 1999-12-20 キヤノン販売株式会社 成膜方法
JPH09293716A (ja) * 1996-04-24 1997-11-11 Kawasaki Steel Corp フッ素含有絶縁膜の形成方法
JPH1012611A (ja) * 1996-06-26 1998-01-16 Sony Corp 配線保護用パッシベーション膜、及び半導体装置の製造方法
US6211096B1 (en) * 1997-03-21 2001-04-03 Lsi Logic Corporation Tunable dielectric constant oxide and method of manufacture
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6271498B1 (en) * 1997-06-23 2001-08-07 Nissin Electric Co., Ltd Apparatus for vaporizing liquid raw material and method of cleaning CVD apparatus
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6228297B1 (en) * 1998-05-05 2001-05-08 Rohm And Haas Company Method for producing free-standing silicon carbide articles

Also Published As

Publication number Publication date
GB2373372A (en) 2002-09-18
GB0212404D0 (en) 2002-07-10
WO2001041203A1 (en) 2001-06-07
TWI226100B (en) 2005-01-01
CN1451177A (zh) 2003-10-22
HK1046331B (zh) 2004-12-10
DE10085212B4 (de) 2008-11-20
DE10085212T1 (de) 2002-11-07
AU1197501A (en) 2001-06-12
GB2373372B (en) 2004-04-28
HK1046331A1 (en) 2003-01-03
US20030209805A1 (en) 2003-11-13

Similar Documents

Publication Publication Date Title
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
CN102237272B (zh) 半导体装置和半导体装置制造方法
US6211040B1 (en) Two-step, low argon, HDP CVD oxide deposition process
US5872058A (en) High aspect ratio gapfill process by using HDP
US5679606A (en) method of forming inter-metal-dielectric structure
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US5719089A (en) Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US6417092B1 (en) Low dielectric constant etch stop films
US5599740A (en) Deposit-etch-deposit ozone/teos insulator layer method
EP1094508B1 (en) Method for forming a low K dielectric composite layer in integrated circuits structures
US6287961B1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
US20110104891A1 (en) Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US5658425A (en) Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JPH10223760A (ja) アルミニウム相互接続のプラズマ処理による空気ギャップ形成の方法
JP5236884B2 (ja) LowK誘電絶縁体及び半導体回路構造の形成方法
CN1221017C (zh) 改进的氟掺杂二氧化硅薄膜
US20010027009A1 (en) Method of fabricating semiconductor device
TW200524051A (en) Minimizing the loss of barrier materials during photoresist stripping
EP0192646B1 (en) Diffusion barrier layer for integrated-circuit devices
JP2000269185A (ja) プラズマガスによる有機誘電ポリマー材料の異方性エッチング方法
JPH09116015A (ja) 接触ホール埋立方法
CN101197305A (zh) 隔离沟槽的填充方法
WO2005071752A1 (en) Gradient deposition of low-k cvd materials
KR20030059270A (ko) 듀얼 다마신 메탈 배선화를 위한 최적화된 라이너

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20180323

Address after: Idaho

Patentee after: MICRON TECHNOLOGY, Inc.

Address before: Swiss Rolle

Patentee before: Nomonks GmbH

Effective date of registration: 20180323

Address after: Swiss Rolle

Patentee after: Nomonks GmbH

Address before: California, USA

Patentee before: INTEL Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20050928