CN116197933A - 用于改善的机器人的关节坐标教导准确度的设备、系统和方法 - Google Patents

用于改善的机器人的关节坐标教导准确度的设备、系统和方法 Download PDF

Info

Publication number
CN116197933A
CN116197933A CN202211668008.6A CN202211668008A CN116197933A CN 116197933 A CN116197933 A CN 116197933A CN 202211668008 A CN202211668008 A CN 202211668008A CN 116197933 A CN116197933 A CN 116197933A
Authority
CN
China
Prior art keywords
robot
sensor data
processing system
data
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211668008.6A
Other languages
English (en)
Inventor
尼古拉斯·迈克尔·伯甘茨
阿里·乌特库·佩利文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116197933A publication Critical patent/CN116197933A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • B25J13/088Controls for manipulators by means of sensing devices, e.g. viewing or touching devices with position, velocity or acceleration sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1656Programme controls characterised by programming, planning systems for manipulators
    • B25J9/1664Programme controls characterised by programming, planning systems for manipulators characterised by motion, path, trajectory planning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1602Programme controls characterised by the control system, structure, architecture
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/163Programme controls characterised by the control loop learning, adaptive, model based, rule based expert control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/1653Programme controls characterised by the control loop parameters identification, estimation, stiffness, accuracy, error analysis
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45032Wafer manufacture; interlock, load-lock module

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Human Computer Interaction (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Numerical Control (AREA)

Abstract

一种方法,所述方法包括以下步骤:在基板处理系统中相对于基板处理系统中的固定位置将机器人定位在复数个姿势中,和产生识别相对于在复数个姿势中的机器人的固定位置的传感器数据。所述方法进一步包括以下步骤:依据传感器数据来确定对应于基板处理系统的一个或多个部件的复数个误差值,和依据复数个误差值来造成与基板处理系统的一个或多个部件相关联的一个或多个校正动作的执行。

Description

用于改善的机器人的关节坐标教导准确度的设备、系统和 方法
本申请是申请日为2020年4月10日、申请号为202080028073.5、发明名称为“用于改善的机器人的关节坐标教导准确度的设备、系统和方法”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式涉及用于改善的机器人的关节坐标教导准确度的设备、系统和方法。
背景
电子装置制造系统(例如,基板处理系统)包括多个工艺腔室和装载锁定腔室。举例而言,这样的腔室包括在群集工具中,其中复数个工艺腔室环绕传送腔室分布。电子装置制造系统还包括工厂接口与储存区域(例如,前开式标准舱(FOUP))。电子装置制造系统采用铰接式机器人或多臂机器人,铰接式机器人或多臂机器人容纳在传送腔室内,以在各种工艺腔室与装载锁定腔室之间运输基板,并且容纳在工厂接口内,以在储存区域与装载锁定腔室之间运输基板。举例而言,机器人将基板从腔室运输至腔室,从装载锁定腔室运输至工艺腔室,从工艺腔室运输至装载锁定腔室,从装载锁定腔室运输至储存区域,并且/或者从储存区域运输至装载锁定腔室。在电子装置制造系统的各个部分之间基板的有效且精确的运输改善系统产量,而因此降低整体操作成本。
在许多单叶片(例如,终端受动器)与双叶片的机器人中,单叶片或双叶片中的每一者所附接至的腕部是能够独立旋转的,被称为偏航旋转(yaw rotation)。在一些实例中,每个机器人采用通过一个或多个马达驱动的三个臂部(上臂、前臂和腕部)。在一些实例中,每个机器人还采用通过一个或多个马达驱动的四个臂部(上臂、前臂、附加臂和腕部)。在这些机器人中的每一者中,腕部能够独立进行偏航旋转。叶片耦接至腕部,并且用于将内容物(例如,基板)运送至目的地(例如,工艺腔室或装载锁定腔室)或从目的地(例如,工艺腔室或装载锁定腔室)取出内容物(例如,基板)。
概述
以下是本公开内容的简化概述,以提供对本公开内容的一些方面的基本理解。此概述并非本公开内容的广泛概述。既不意欲识别本公开内容的关键或重要元素,也不意欲描述本公开内容的特定实施方案的任何范围或权利要求的任何范围。简化概述的唯一目的在于以简化形式来呈现本公开内容的一些概念,以作为稍后呈现的更详细描述的序言。
在本公开内容的方面中,一种方法包括以下步骤:相对于基板处理系统中的固定位置而在基板处理系统中将机器人定位在复数个姿势中;产生传感器数据,所述传感器数据识别相对于在复数个姿势中的机器人的固定位置;产生传感器数据,所述传感器数据识别相对于在复数个姿势中的机器人的固定位置;依据传感器数据来确定与基板处理系统的一个或多个部件对应的复数个误差值;和依据复数个误差值来造成与基板处理系统的一个或多个部件相关联的一个或多个校正动作的执行。
在本公开内容的另一方面中,一种储存指令的非暂时性机器可读储存介质,当执行指令时造成处理装置执行操作,所述操作包括:相对于基板处理系统中的固定位置而在基板处理系统中将机器人定位在复数个姿势中;产生传感器数据,所述传感器数据识别相对于在复数个姿势中的机器人的固定位置;依据传感器数据来确定与基板处理系统的一个或多个部件对应的复数个误差值;依据复数个误差值来造成与基板处理系统的一个或多个部件相关联的一个或多个校正动作的执行。
在本公开内容的另一方面中,一种系统包括存储器和耦接至存储器的处理装置。处理装置用于相对于基板处理系统中的固定位置而在基板处理系统中将机器人定位在复数个姿势中;产生传感器数据,所述传感器数据识别相对于在复数个姿势中的机器人的固定位置;依据传感器数据来确定与基板处理系统的一个或多个部件对应的复数个误差值;依据复数个误差值来造成与基板处理系统的一个或多个部件相关联的一个或多个校正动作的执行。
附图简要说明
下面描述的附图仅用于说明的目的,并且不一定按比例绘制。附图不意欲以任何方式限制本公开内容的范围。尽可能地,在所有附图中将使用相同或相似的参考数字来表示相同或相似的部分。
图1图示根据某些实施方式的基板处理系统的示意性俯视图。
图2A图示根据某些实施方式的机器人的平面俯视图。
图2B图示根据某些实施方式的机器人的横截面侧视图。
图3A至图3C图示根据某些实施方式的具有束传感器的机器人的单个终端受动器的俯视图。
图4图示根据某些实施方式的检测销的位置的终端受动器的平面俯视图。
图5图示根据某些实施方式的具有在若干位置中检测销的束传感器的终端受动器的俯视图。
图6图示根据某些实施方式的示出机器人测量固定位置的坐标数据点的图。
图7是图示根据某些实施方式的示例性系统架构的方框图。
图8是根据某些实施方式的用于针对机器学习模型建立数据集合的示例数据集合产生器。
图9是图示根据某些实施方式的确定预测数据的方框图。
图10A至图10D是根据某些实施方式的与确定误差值来造成校正动作相关联的方法的流程图。
图11是图示根据某些实施方式的计算机系统的方框图。
具体说明
本文所述的实施方式涉及机器人的关节坐标教导准确度。在基板处理系统中,机器人(例如,大气机器人、工厂接口机器人等)位于工厂接口中,并且在基板处理系统的部分(例如,封闭系统(enclosure system)(例如,FOUP、侧储存舱(SSP))、对准器装置、装载锁定和/或类似者)之间传送内容物(例如,基板,工艺配件环等)。机器人(例如,真空机器人、传送腔室机器人等)位于传送腔室中,并且在基板处理系统的部分(例如,处理腔室、装载锁定、局部中心查找(LCF)装置和/或类似者)之间传送内容物。在基板处理(例如,电子装置制造)中,目标是实现在各种具体位置之间的内容物的非常精确并且快速的运输。更特定言之,相对于机器人所运输的内容物和机器人所服务的基板处理系统的部分(例如,工艺腔室、装载锁定、FOUP等)来精确地定向机器人的叶片或终端受动器。在一些情况中,由于基板交接的未对准,不合适的定向导致处理不均匀,并且导致基板品质降低。在一些情况中,具有误差的机器人、对准器装置和/或LCF装置造成对内容物、基板处理系统的部分、和/或对机器人、对准器装置和/或LCF装置自身的损伤。由于在机器人的关节坐标教导期间引入关节误差,因此一些机器人遭遇某些定向问题。
在多连杆(multi-link)机器人中,关节误差极大地影响机器人教导准确度。举例而言,关节运动学误差、关节滞后和关节回差(backlash)各自是对多连杆机器人所遭遇的位置误差具有重大影响的关节误差的对应类型。
常规地,机器人、对准器装置和/或LCF装置的位置、校准、诊断故障部件(例如,确定误差、低于阈值速度的速度下降等)的教导是手动工艺。针对手动工艺,技术人员打开基板处理系统(导致工厂接口和/或基板处理系统的传送腔室内的密封环境暴露至大气),手动操纵机械臂(可能将污染物引入到机械臂),并且手动执行教导、校准和诊断。在打开之后,基板处理系统经历长时间的重新鉴定(requalification)工艺,在此工艺期间不使用基板处理系统来处理基板。重新鉴定工艺影响生产线良率、调度、品质、使用者时间、所使用的能量等。
本文所公开的装置、系统和方法提供改善的机器人的关节坐标教导准确度。本文所公开的装置、系统和方法减少机器人的教导(例如,自动教导)期间关节误差的影响。在一些实施方式中,处理装置(例如,基板处理系统的控制器)相对于基板处理系统中的固定位置在基板处理系统中将机器人定位在复数个姿势中。在一些实施方式中,固定位置是位于封闭系统(例如,FOUP、SSP)中、对准器装置上、LCF装置上、装载锁定处和/或类似者的销。在一些实施方式中,机器人包括多个部件(例如,终端受动器、腕部构件、前臂、上臂和/或类似者)。
处理装置进一步产生用于识别相对于具有不同姿势的机器人的固定位置的传感器数据。在一些实施方式中,通过隔离机器人的一个部分并且仅移动机器人的该部分来将机器人定位于不同姿势中。在仅将机器人的腕部构件环绕机器人的第一关节移动时产生传感器数据的第一部分,并且在仅将机器人的前臂环绕机器人的第二关节移动时产生传感器数据的第二部分等。
处理逻辑进一步依据传感器数据来确定对应于基板处理系统的一个或多个部件的复数个误差值。在一些实例中,依据传感器数据来确定对应于机器人的腕部构件的误差值。在一些实例中,依据传感器数据确定对应于对准器装置的误差值。
处理装置进一步依据复数个误差值来造成与基板处理系统的一个或多个部件相关联的一个或多个校正动作的执行。在一些实例中,响应于确定与腕部构件相关联的误差值(例如,引导腕部构件旋转至第一角度实际上造成腕部构件旋转至与第一角度不同的第二角度),处理装置使用该误差值而造成腕部构件旋转至正确角度。在一些实例中,在确定误差值随着时间增加之后,进行预防性维护、一个或多个部件的更换或类似者。在一些实例中,针对机器人执行第一校正动作(例如,校准、自动教导、诊断等),然后针对使用机器人的基板处理系统的不同部件(例如,对准器装置、LCF装置等)来确定第二校正动作。
在一些实施方式中,通过训练机器学习模型来确定误差值。接收历史传感器数据(例如,位置数据、图像等)与历史误差值(例如,来自第三方传感器、来自校准器装置、来自LCF装置等的平均值),并且利用包括历史传感器数据的数据输入和包括历史误差值的目标输出来训练机器学习模型,以产生经训练的机器学习模型。提供传感器数据来作为到经训练的机器学习模型的输入,从经训练的机器学习模型取得指示预测数据的输出,并且依据预测数据来确定误差值。
本文所描述的装置、系统和方法具有优于常规解决方案的优点。在不需要打开基板处理系统并且不需要基板处理系统的后续重新鉴定工艺的情况下,确定基板处理系统的部件的误差值(例如,使得在维持密封环境的同时能够执行操作)。维持密封环境防止有害气体离开基板处理系统,防止污染物进入基板处理系统,并且在基板处理系统的对应部分内维持惰性环境和/或真空等级。确定特定部件的误差来改善自动教导、校准和诊断(例如,对特定部件、机器人、对准器、LCF装置、基板处理系统等的自动教导、校准和诊断)。此举使得能够控制机器人以移除内容物并且将内容物放置在特定位置中,并且使得对准器装置和LCF装置能够更准确地对准内容物。以此方式,此举减少处理内容物中的误差,并且减少对于机器人、封闭系统和/或基板处理系统的损伤。相较于常规解决方案,本文所描述的解决方案对于生产线良率、调度、品质、使用者时间、所使用的能量等的影响较小。
用于改善的机器人的关节坐标教导准确度的设备、系统和方法是出于说明而非限制的目的。在一些实施方式中,本文所描述的解决方案用于执行除了关节坐标教导准确度之外的校正动作(例如,用于执行与相对于机器人的叶片的晶片中心的纤维束偏移、机器人的每个关节的马达或齿轮传动误差、机器人的椭圆关节误差、机器人的连杆归零偏移和/或类似者相关联的校正动作)。在一些实施方式中,本文所描述的解决方案用于针对除了机器人之外的部件(例如,对准器装置、LCF装置和/或类似者)执行校正动作。
图1图示处理系统100(例如,电子装置处理设备、基板处理系统)的示意俯视图。处理系统100在处理系统100的不同部分之间传送内容物(例如,基板、工艺配件环、载体、验证晶片、部件等)。处理系统100适于通过向基板105(例如,300mm或450mm的含硅晶片、硅板或类似者)施加一种或多种工艺(例如,经由一个或多个工艺腔室104)来处理基板105。所述工艺包括脱气、清洁、预清洁、沉积(例如,化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积)、涂布、氧化、硝化、蚀刻、抛光、光刻和/或类似者。在一些实施方式中,处理系统100包括工艺腔室104,工艺腔室104包括蚀刻腔室、沉积腔室(包括原子层沉积、化学气相沉积、物理气相沉积、或上述项的等离子体增强版本)、退火腔室和/或类似者中的一者或多者。
所图示的处理系统100包括主机壳体101,主机壳体101包括形成于主机壳体101中的传送腔室102。举例而言,在一些实施方式中,传送腔室102由盖(出于说明目的而移除)、底部和侧壁形成,并且维持在真空下。主机壳体101包括任何合适的形状(例如,正方形、矩形、五边形、六边形、七边形、八边形(如图所示)或其他几何形状)。在所图示的实施方式中,机器人106(例如,多臂机器人)至少部分容纳于传送腔室102的内侧。机器人106适于能够在传送腔室102中操作,以服务围绕传送腔室102布置的各种腔室(例如,一个或多个工艺腔室104和/或一个或多个装载锁定腔室109)。如本文中所使用的“服务”包括利用机器人106的终端受动器108将内容物(例如,基板105,工艺配件环等)放入或取出腔室(例如,工艺腔室104和/或装载锁定腔室109)。图1中所示的传送腔室102耦接至六个工艺腔室104和二个装载锁定腔室109。然而,在其他实施方式中,使用其他数量的工艺腔室104和装载锁定腔室109。
机器人106适于拾取和放置安装在机器人106的终端受动器108(有时称为“叶片”)上的内容物(例如,基板105(有时称为“晶片”或“半导体晶片”))而经由一个或多个狭缝阀组件107到达或离开目的地。在图1所示的实施方式中,机器人106是任何合适的多臂机器人,并且具有足够的移动性(mobility),以在各个工艺腔室104和/或装载锁定腔室109之间传送基板105。
在图1所示的实施方式中,机器人106被示为位于并且容纳于传送腔室102中。然而,应认识到,机器人106的此实施方式以及本文所述的其他机器人用于电子装置制造的其他区域(例如,在机器人于基板载体114(例如,封闭系统、FOUP等)与装载锁定腔室109之间运输内容物(例如,基板105)所在的电子前端模块(EFEM)110(例如,工厂接口)中)。本文所述的机器人106也能够用于其他运输用途。
装载锁定腔室109适于与EFEM 110的接口腔室111对接。EFEM 110接收来自基板载体114(例如,对接于装载口112处的前开式标准舱(FOUP))的内容物(例如,基板105)。机器人118(装载/卸载机器人、工厂接口机器人、大气机器人、EFEM机器人等)(如虚线所示)用于在基板载体114与装载锁定腔室109之间传送基板105。在一些实施方式中,机器人118具有与机器人106相同或类似的部件(例如,终端受动器108等)和功能。在进入工艺腔室104的一些或全部开口处并且还在装载锁定腔室109的一些或全部开口处提供狭缝阀组件107。
机器人118包括机械臂(例如,选择顺应性装配机械臂(SCARA)机器人)。SCARA机器人的实例包括2连杆SCARA机器人、3连杆SCARA机器人、4连杆SCARA机器人等。机器人118包括在机械臂的一端上的终端受动器108。终端受动器108经配置以拾取和输送特定物体(例如,基板)。替代地或附加地,终端受动器108经配置以输送物体(例如,校准基板和工艺配件环(边缘环))。终端受动器108还经配置以扫描位于固定位置处的物体(例如,销、自动教导销、校准销等)。机器人118具有一个或多个连杆或构件(例如,腕部构件、上臂构件、前臂构件等),所述连杆或部件经配置以被移动来在不同定向中移动终端受动器108并且将终端受动器108移动至不同位置。
机器人118经配置以在基板载体114(例如,盒、FOUP)(或装载口)、装载锁定119A、119B、SSP、对准器装置和/或类似者之间传送物体。尽管常规系统与操作者打开(例如,拆卸、破坏密封或污染等)处理系统(例如,EFEM)来确定误差值并且执行机器人(例如,工厂接口机器人)的校正动作(例如,教导、校准和/或诊断故障)相关联,处理系统100经配置以促进确定误差值和执行校正动作(例如,自动教导、校准和/或诊断),而不需要操作者打开(例如,拆卸、破坏密封、污染等)处理系统100。因此,在实施方式中,在确定误差值和执行校正动作(例如,自动教导,校准和/或诊断操作)期间,维持包括基板载体114的内部容积与EFEM110的内部容积的密封环境。
在实施方式中,使用物体(例如,销(例如,自动教导封闭系统的自动教导销))来向机器人118教导相对于装载口、基板载体114、装载锁定119、SSP、对准器装置、LCF装置等的固定位置。在一个实施方式中,固定位置是对应于放置在特定装载口处的基板载体114(例如,自动教导封闭系统)的中心位置,在实施方式中,固定位置还对应于放置在特定装载口处的不同基板载体114(例如,基板的盒)的中心位置。替代地,固定位置对应于处理系统100内的其他固定位置(例如,基板载体114的前方或后方、对准器装置、装载锁定119、LCF装置等)。在一些实施方式中,使用物体(例如,自动教导封闭系统的自动教导销和/或校准基板)来校准机器人118。在一些实施方式中,使用物体(例如,自动教导封闭系统的校准基板)来诊断机器人118。
在一些实施方式中,机器人106与机器人118大体上类似。在一些实施方式中,机器人106是SCARA机器人,但是相较于机器人118具有更少的连杆和/或更少的自由度。
内容物(例如,基板105)从EFEM 110被接收到传送腔室102中,并且还通过耦接至EFEM 110的表面(例如,后壁)的装载锁定腔室109离开传送腔室102而到达EFEM 110。装载锁定腔室109包括一个或多个装载锁定(例如,装载锁定119A、119B)。举例而言,在一些实施方式中,包括在装载锁定腔室109中的装载锁定119A、119B是单晶片装载锁定(SWLL)腔室、多晶片腔室或上述项的组合。基板载体114中的每一者位于装载口上。在一些实施方式中,装载口直接安装至EFEM 110(例如,抵靠EFEM 110而密封)。基板载体114(例如,盒、FOUP、工艺配件封闭系统、自动教导封闭系统、封闭系统或类似者)经配置而可移除地耦接(例如,对接)至装载口。在一些实施方式中,一个或多个基板载体114耦接至装载口,以用于将晶片和/或其他基板传送到处理系统100中和传送出处理系统100。基板载体114中的每一者抵靠相应装载口而密封。在一些实施方式中,第一基板载体114对接至第一装载口(例如,用于教导、校准和/或诊断EFEM 110的机器人118)。一旦执行这样的一个或多个操作,则将第一基板载体114从装载口移出,然后将第二基板载体114(例如,包含晶片的FOUP)对接至相同的装载口。在一些实施方式中,基板载体114是用于执行自动教导操作、校准操作、或诊断操作中的一者或多者的自动教导封闭系统。在一些实施方式中,基板载体114是用于将内容物(例如,工艺配件环)传送到处理系统100或传送出处理系统100的工艺配件封闭系统。
在一些实施方式中,装载口包括形成竖直开口(或大体上竖直的开口)的前接口。附加地,装载口包括用于支撑基板载体114(例如,盒、封闭系统、自动教导封闭系统)的水平表面。每个基板载体114(例如,晶片的FOUP、自动教导封装系统、工艺配件封装系统)具有形成竖直开口的前接口。基板载体114的前接口依一定尺寸制造成与装载口的前接口对接(例如,密封至装载口的前接口)(例如,基板载体114的竖直开口的尺寸与装载口的竖直开口的尺寸近似相同)。基板载体114放置于装载口的水平表面上,而基板载体114的竖直开口与装载口的竖直开口对准。基板载体114的前接口与装载口的前接口互相连接(例如,夹持、固定、密封至装载口的前接口)。基板载体114的底板(例如,底座板)具有与装载口的水平表面接合的特征(例如,与装载口运动销特征、用于销间距的装载口特征和/或封闭系统对接托盘闩锁夹持特征接合的装载特征(例如,凹槽或插座))。相同的装载口用于不同类型的基板载体114(例如,自动教导封闭系统、工艺配件封闭系统、包含晶片的盒等)。
在一些实施方式中,基板载体114(例如,自动教导封闭系统)包括用于执行自动教导操作的自动教导销。在一些实施方式中,基板载体114包括用于执行校准操作或诊断操作中的一者或多者的校准基板(例如,包括校准销)。因此,在一些实施方式中,基板载体114包括自动教导销与校准基板二者。
在一些实施方式中,基板载体114、SSP、对准器装置、LCF装置、装载锁定119和/或装载口中的一者或多者具有对应的固定位置(例如,销、圆柱销、具有梯形底座的圆柱销或类似者),所述固定位置用于确定处理系统100的一个或多个部件的误差值。
在一些实施方式中,基板载体114(例如,工艺配件封闭系统)包括一项或多项的内容物(例如,工艺配件环、空的工艺配件环载体、设置于工艺配件环载体上的工艺配件环、放置验证晶片等中的一者或多者)。在一些实例中,基板载体114耦接至EFEM 110(例如,经由装载口),以使得能够将工艺配件环载体上的工艺配件环自动传送至处理系统100中,以替换使用过的工艺配件环。
在一些实施方式中,处理系统100还包括第一真空口(例如,装载锁定119与EFEM110之间的狭缝阀组件107),所述第一真空口将EFEM 110耦接至相应装载锁定119(例如,脱气腔室)。第二真空口(例如,装载锁定119与传送腔室102之间的狭缝阀组件107)耦接至相应装载锁定119(例如,脱气腔室),并且设置于装载锁定119与传送腔室102之间,以促进将基板105与内容物(例如,工艺配件环)传送到传送腔室102中。在一些实施方式中,处理系统100包括并且/或者使用一个或多个装载锁定119和对应数量的真空口(例如,狭缝阀组件107)(例如,处理系统100包括单个装载锁定119、单个第一狭缝阀组件107和单个第二狭缝阀组件107)。传送腔室102包括围绕传送腔室102设置并且耦接至传送腔室102的工艺腔室104(例如,四个工艺腔室104、六个工艺腔室104等)。工艺腔室104经由相应口107(例如,狭缝阀或类似者)耦接至传送腔室102。在一些实施方式中,EFEM 110处于较高的压力(例如,大气压力),而传送腔室102处于较低的压力(例如,真空)。每个装载锁定119(例如,脱气腔室、压力腔室)具有用于从EFEM 110密封装载锁定119的第一门(例如,第一狭缝阀组件107)和用于从传送腔室102密封装载锁定119的第二门(例如,第二狭缝阀组件107)。在打开第一门并且关闭第二门时,将内容物从EFEM 110传送至装载锁定119中,接着第一门关闭,将装载锁定119中的压力减小以匹配传送腔室102,接着第二门打开,并且将内容物从装载锁定119传送出来。LCF装置用于对准传送腔室102中的内容物(例如,在进入工艺腔室104之前,在离开工艺腔室104之后)。
控制器109控制处理系统100的各种方面。控制器109是并且/或者包括计算装置(例如,个人计算机、服务器计算机、可编程逻辑控制器(PLC)、微控制器等)。控制器109包括一个或多个处理装置,在一些实施方式中所述处理装置是通用处理装置(例如,微处理器、中央处理单元或类似者)。更特定言之,在一些实施方式中,所述处理装置是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、或实现其他指令集的处理器或实现指令集的组合的处理器。在一些实施方式中,处理装置是一个或多个专用处理装置(例如,专用集成电路(ASIC)、现场可编程逻辑门阵列(FPGA)、数字信号处理器(DSP)、网络处理器或类似者)。在一些实施方式中,控制器109包括数据储存装置(例如,一个或多个盘驱动器和/或固态驱动器)、主存储器、静态存储器、网络接口和/或其他部件。在一些实施方式中,控制器109执行指令来执行本文所述的方法或工艺中的任何一者或多者。(在执行指令期间)指令储存在计算机可读储存介质上,计算机可读储存介质包括主存储器、静态存储器、辅助储存和/或处理装置中的一者或多者。在一些实施方式中,控制器109从机器人118和机器人106接收信号,并且将控制发送至机器人118和机器人106。
图1示意性图示内容物(例如,基板105、耦接至工艺配件环载体的工艺配件环)到工艺腔室104中的传送。根据本公开内容的一个方面,经由位于EFEM 110中的机器人118从基板载体114移除内容物。机器人118将内容物传送穿过第一狭缝阀组件107中的一者并且进入相应装载锁定119A、119B中。位于传送腔室102中的机器人106经由第二狭缝阀组件107从装载锁定119A、119B中的一者移除内容物。机器人106将内容物移动进入传送腔室102,其中内容物经由相应狭缝阀组件107传送至工艺腔室104。尽管为了清楚起见在图1中并未图示,但是内容物的传送包括基板105的传送、设置于工艺配件环载体上的工艺配件环的传送、空的工艺配件环载体的传送、放置验证晶片的传送、晶片上的成像装置的传送等中的一者或多者。
图1图示内容物的传送的一个实例,但是也可以想到其他实例。在一些实例中,设想基板载体114耦接至传送腔室102(例如,经由安装至传送腔室102的装载口)。通过机器人106将内容物从传送腔室102装载至工艺腔室104中。此外,在一些实施方式中,内容物被装载在SSP中。在一些实施方式中,附加的SSP定位成与EFEM 110连通而与所示的SSP相对。利用与本文描述的任何方式相反的方式,将经处理的内容物(例如,使用过的工艺配件环)从处理系统100移除。在一些实施方式中,当利用多个基板载体114或基板载体114与SSP的组合时,一个SSP或基板载体114用于未处理的内容物(例如,新的工艺配件环),而另一SSP或基板载体114用于接收经处理的内容物(例如,使用过的工艺配件环)。基板载体114用于在经由机械臂传送内容物之前,执行机械臂(例如,机器人118、机器人106等)的自动教导操作、校准操作或诊断操作中的一者或多者。自动教导、校准或诊断操作中的一者或多者使得机械臂能够正确地从特定位置移除物体,和将物体正确地放置在特定位置中(例如,在将第二基板载体114对接于与第一基板载体114所对接的相同装载口时)。
处理系统100包括腔室(例如,EFEM 110)和与EFEM 110相邻的相邻腔室(例如,装载口、基板载体114、SSP、装载锁定119和/或类似者)。将腔室中的一个或多个腔室密封(例如,将腔室中的每一个腔室密封)。相邻腔室被密封至EFEM 110。在一些实施方式中,将惰性气体(例如,氮、氩、氖、氦、氪或氙中的一者或多者)提供至腔室中的一个或多个腔室(例如,EFEM 110和/或相邻腔室),以提供一个或多个惰性环境。在一些实例中,EFEM 110是惰性EFEM,而维持EFEM 110内的惰性环境(例如,惰性EFEM微型环境),而使得使用者不需要进入EFEM 110(例如,处理系统100经配置而不需要在EFEM 110内手动存取)。
在一些实施方式中,将气体流(例如,惰性气体、氮)提供至处理系统100的一个或多个腔室(例如,EFEM 110)中。在一些实施方式中,气体流大于穿过一个或多个腔室的泄漏,以在一个或多个腔室内维持正压。在一些实施方式中,使EFEM 110内的惰性气体再循环。在一些实施方式中,惰性气体的一部分被排出。在一些实施方式中,进入EFEM 110中的非再循环气体的气体流大于所排出的气体流和气体泄漏,以维持EFEM 110内的惰性气体的正压。在一些实施方式中,将EFEM 110耦接至一个或多个阀门和/或泵,以提供流入和流出EFEM 110的气体流。处理装置(例如,控制器109的处理装置)控制流入和流出EFEM 110的气体流动。在一些实施方式中,处理装置从一个或多个传感器(例如,氧传感器、湿度传感器、运动传感器、门致动传感器、温度传感器、压力传感器等)接收传感器数据,并且依据传感器数据来确定惰性气体的流入和/或流出EFEM 110的流率。
处理系统100内的一个或多个物体(例如,销)允许确定误差值并且执行处理系统100的一个或多个部件(机器人、对准器装置、LCF装置等)的校正动作(例如,教导、校准和/或诊断),而不需要打开EFEM 110和相邻腔室内的密封环境。响应于对接至装载口,基板载体114密封至装载口。基板载体114提供净化口通路,而使得可以在打开基板载体114之前净化(purge)基板载体114的内部,以最小化对EFEM 110内的惰性环境的干扰。
在一些实施方式中,每个装载口和/或基板载体114具有对应于固定位置的对应销(例如,经配置以用于FOUP固定物上的单一销扫描)。在一些实施方式中,每个SSP具有对应于固定位置的对应销(例如,经配置以用于SSP销上的单一销扫描)。在一些实施方式中,对准器装置(例如,在EFEM 110中)具有对应于固定位置的对应销(例如,经配置以用于对准器卡盘上的单一销扫描)。在一些实施方式中,每个缓冲腔室具有对应于固定位置的对应销(例如,经配置以用于缓冲销上的单一销扫描)。在一些实施方式中,每个装载锁定119具有对应于固定位置的对应销(例如,经配置以用于所有装载锁定119上的偏移销扫描)。
参照图2A,图示根据某些实施方式的机器人210(例如,图1的机器人106和/或机器人118)的平面俯视图。也参照图2B,图示根据某些实施方式的机器人210(例如,图1的机器人106和/或机器人118)的横截面侧视图。在一些实施方式中,机器人210包括底座220,底座220适于附接至处理系统的壁(例如,图1的处理系统100、图1的传送腔室102、图1的EFEM110等)。在一些实施方式中,机器人210还包括上臂222,在所示的实施方式中,上臂222是大体上刚性的悬臂梁。上臂222适于环绕第一旋转轴线224以顺时针和逆时针旋转方向来旋转。环绕第一旋转轴线224的旋转通过任何合适的马达(例如,可变磁阻马达或永磁体电马达)来提供。马达容纳于马达壳体226中。上臂222的旋转是通过从控制器228(例如,图1的控制109)至马达的适当命令而控制的。
前臂230在第二旋转轴线232处耦接至上臂222。第二旋转轴线232与第一旋转轴线224间隔开。前臂230适于在XY平面中相对于上臂222环绕第二旋转轴线232旋转。腕部构件236在第三旋转轴线238处耦接至前臂230。腕部构件236适于在XY平面中环绕第三旋转轴线238旋转。终端受动器108耦接至腕部构件236,并且适于承载和运输基板105。
图2B图示根据某些实施方式的机器人210的横截面侧视图。机器人210包括在机器人106的连杆或臂之间的复数个关节(例如,旋转关节)。关节使臂能够相对于彼此旋转。关节内的游隙(play)造成对终端受动器108与位于终端受动器108上的内容物(例如,图1的基板105)的实际位置的确定的不准确。
图2A至图2B所示的机器人210包括三个关节。第一关节240位于马达壳体226与上臂222之间。第一关节240使得上臂222能够相对于马达壳体226环绕第一旋转轴线224旋转。第二关节242位于上臂222与前臂230之间。第二关节242使得前臂230能够相对于上臂222环绕第二旋转轴线232旋转。第三关节244位于前臂230与腕部构件236之间。第三关节使得腕部构件236能够相对于前臂230环绕第三旋转轴线238旋转。
在一些实施方式中,图2A至图2B的机器人210相对于基板处理系统中的固定位置以不同姿势定位于基板处理系统中。产生识别相对于在不同姿势中的机器人的固定位置的传感器数据。依据传感器数据来确定对应于基板处理系统的一个或多个部件的误差值,并且依据误差值来造成与一个或多个部件相关联的一个或多个校正动作的执行。在一些实施方式中,误差值与校正动作对应于环绕第一关节240、第二关节242和/或第三关节244中的一者或多者的关节旋转。在一些实施方式中,控制器228(例如,图1的控制器109)单独确定每个部件的每个误差值(例如,通过隔离每个部件的移动)。
图3A是机器人310(例如,图2A至图2B的机器人210、图1的机器人106和/或机器人118等)的腕部构件236与终端受动器108的实施方式的俯视图。终端受动器108和/或腕部构件236包括束传感器350,在一些实施方式中,束传感器350包括光源352和光接收器354。光发射纤维356A耦接至光源352。光发射纤维356A经路由而穿过腕部构件236和终端受动器108,并且终止于终端受动器108的第一端358A处。光接收纤维356B耦接至光接收器354。光接收纤维356B经路由而穿过腕部构件236和终端受动器108,并且终止于终端受动器108的第二端358B处。终端受动器108的第一端358A和第二端358B跨越间隙360而间隔开,间隙360形成用于某些类型的处理(包括检测基板105(见图1)、其他内容物和/或物体(例如,销)的周边边缘)的离隙区域(relief region)。
光发射纤维356A终止于接近第一端358A的第一光路径开口362A。类似地,光接收纤维356B终止于接近第二端358B的第二光路径开口362B。第一光路径开口362A与第二光路径开口362B彼此面对,并且形成用于检测基板105(参见图1)、其他内容物和/或物体(例如,销)的周边边缘的存在或不存在的光传输路径364(例如,光束)。光传输路径364延伸于第一端358A与第二端358B之间(即,二个点之间),而使得能够检测间隙360中的物体。
束传感器350进一步包括光发射/接收模块366,光发射/接收模块366检测在光发射纤维356A与光接收纤维356B之间的光传输程度。当基板105或其他物体阻挡光传输路径364时,光发射/接收模块366感测位于第一光路径开口362A与第二光路径开口362B之间的基板105(图1)或其他物体的周边边缘。通过光发射/接收模块366所产生的输出信号经由穿过机器人310的导体(未示出)而被提供至控制器(例如,图2A至图2B的控制器228、图1的控制器109)。
在机器人310的操作期间,控制器(例如,图2A至图2B的控制器228、图1的控制器109)将信号发射至机器人310,而造成终端受动器108移动至某些位置。举例而言,控制器228产生造成上臂222、前臂230和腕部构件236移动至特定位置(例如,处理系统(例如,图1的处理腔室104、传送腔室102或EFEM 110)内的位置)的信号。控制器依据相对于机器人310的固定位置来产生信号,以移动终端受动器108。举例而言,机器人310用于确定误差值并且执行校正动作(例如,自动教导功能、校准功能、诊断功能等),其中机器人310用于确定与固定位置对应的传感器数据(例如,坐标数据点、图像等)。然后,控制器产生信号,以移动上臂222、前臂230和腕部构件236,以将终端受动器108移动至相对于固定位置的特定位置。
机器人机制中的一些误差造成终端受动器108的定位误差。在一些实例中,关节240、242、244具有误差或游隙,而导致位置误差。这些位置误差降低通过机器人310执行的自动教导、校准和/或诊断的准确度。关节240、242、244中的误差包括关节运动学误差、关节滞后和关节回差。关节运动学误差有时称为关节误差,并且是关节未按照指示旋转的结果(例如,从不同姿势请求相同的点会显示运动学误差)。举例而言,指示关节旋转至特定角度,但是关节旋转至不同的角度(例如,所绘制的横向(Tx)相对于轴向(Ty)的差异是关节误差)。当关节顺时针或逆时针旋转并且位置发生可重复的差异时发生关节回差(例如,前向驱动与后向驱动的差异是回差)。关节滞后涉及在关节顺时针和逆时针旋转中所观察到的滞后。传动误差涉及(例如,在关节角度处)不同于所公布的齿轮和滑轮的降速(例如,实际降速为35:1.0002,而不是所公布的降速35:1)。所分析的其他误差(例如,连杆长度误差)包括上臂222、前臂230和腕部构件236的实际长度相对于控制器所储存的上臂222、前臂230和腕部构件236的长度(例如,多达360.13mm长度的变化,而不是360mm的所储存长度)。设零偏移误差涉及牵制(pin)机器人以设定第零位置,在一些实例中,机械第零位置偏移多达0.1至0.2度。
通过使用不同机器人姿势将终端受动器108重复定向至固定位置来改善自动教导、校准、诊断等的准确度。在一些实施方式中,机器人姿势指的是上臂222、前臂230和腕部构件236的位置。机器人106用于确定由于关节误差和其他误差而变化的固定位置的传感器数据(例如,不同的坐标数据点,不同的图像等)。
精确的运动学(例如,由于所确定的误差值而进行调整)有益于更直的机器人路径、更好的LCF装置可重复性、准确的自动教导操作、使得机器人能够进行测量和类似者。
图3B图示根据某些实施方式的机器人310的俯视图。机器人310经配置以用于使用物体(例如,销)来确定一个或多个误差值(例如,执行自动教导操作、校准操作或诊断操作中的一者或多者)。机器人310包括终端受动器108、腕部构件236、耦接至光源352的第一光路径开口262A(例如,纤维发射器)和耦接至光接收器354的的第二光路径开口362B(例如,纤维接收器)。光传输路径364(例如,光束、束触发路径)设置于第一光路径开口362A与第二光路径开口362B之间。
机器人310具有对应于实际晶片中心372的机器人腕部中心370。机器人310的特性误差374(例如,机器人臂误差)是中心线与误差线之间的距离或角度。中心线在机器人腕部中心370与实际晶片中心372之间(例如,腕部至晶片中心的向量)。误差线(例如,腕部至束的向量)垂直于(例如,成90度角376)光传输路径364(例如,束触发路径)。在一些实施方式中,机器人310经由校准操作来确定特性误差374。
图3C图示根据某些实施方式的机器人310与对准器装置380的俯视图。在一些实施方式中,确定对准器装置380的误差值(例如,校准对准器装置380),然后使用对准器装置380来确定机器人310的误差值(例如,校准机器人310)。在一些实施方式中,确定机器人310的误差值(例如,校准机器人310),然后使用对准器机器人310来确定对准器装置380的误差值(例如,校准对准器装置380)。
在一些实施方式中,校准晶片384具有槽口和/或销。在一些实施方式中,对准器装置380使用槽口来对准校准晶片384,而机器人310检测校准晶片384的销的位置。
在确定对准器装置380或机器人310的误差值(例如,校准)之后,将支撑校准晶片384(例如,具有偏移销386的具有槽口的晶片)的机器人310移动至对准器装置380,以将机器人的XY中心388与对准器装置380对准(例如,通过扫描对准器装置380的一部分)。此举使机器人的XY中心与对准器装置的真实中心XY匹配(例如,XY对准器装置380与机器人310匹配),但是机器人310与对准器装置380的相对角度是未知的。机器人310将校准晶片384放置在对准器装置380上。(例如,通过旋转校准晶片384)对准器装置380使用校准晶片384的槽口来确定校准晶片384的位置(例如,旋转、偏航)。对准器装置380向左和向右旋转校准晶片384,以触发机器人310上的映射纤维(例如,光传输路径364、可重复的触发线)来确定中点角度参考。向左和向右旋转机器人310的腕部,以触发机器人310上的映射纤维来确定机器人310的中点。在一些实施方式中,针对多个进出角度(access angle)重复该程序(例如,在迭代之间重新对准XY)。此举提供命令位置与对准器装置测量位置的关系图。在一些实施方式中,将数据进行拟合并且执行回归以找到线性斜率。
通过对准器装置380所确定的中点参考(例如,坐标数据点)与通过机器人310所确定的中点(例如,坐标数据点)之间的差异是相对差(例如,叶片设零,销的扫描中点之间的差异是所指示的轴线的零偏移)。响应于先前确定对准器装置380的误差值(例如,校准),相对差异是机器人310的误差值(例如,用于校准机器人310)。响应于先前确定机器人310的误差值(例如,校准),相对差异是对准器装置380的误差值(例如,用于校准对准器装置380)。
尽管图3C参考机器人310和对准器装置380,但是可以使用其他部件(例如,机器人310和LCF装置等)。
参照图4,图示根据某些实施方式的检测销468(例如,固定位置)的终端受动器108的平面俯视图。依据销468的已知直径来确定销468的中心470的位置。随着终端受动器108接近销468,销468的周边断开光传输路径364。此时,通过控制器228记录关节240、242、244的角度。如虚线的终端受动器108所示,使用不同的机器人姿势来重复复数次该工艺。
在一些实施方式中,控制器检查销468是否在终端受动器108的第一端358A与第二端358B之间居中地定心。在一些实施方式中,随着终端受动器108平行于销468的切线稍微移动,通过经由单个关节(例如,隔离的关节、第三关节244)向后和向前旋转终端受动器108来实现居中。终端受动器108还移动远离和朝向销468。到销468的所测量的最短距离构成终端受动器108的第一端358A与第二端358B之间的中点。此位置也是第一端358A与第二端358B之间的间隙360中的中点。
测量关节误差来解决关节误差的工艺始于将终端受动器108移动至已知并且/或者固定的位置(例如,销468)。然后,终端受动器108使用机器人106的不同姿势从若干不同的点来测量销468的位置。在一些实施方式中,使用不同的姿势来测量销468上的相同位置。
现在另外参照图5,图示根据某些实施方式的具有在若干位置中检测销468的束传感器的终端受动器108的平面图。在一些实施方式中,随着终端受动器108围绕销468在偏航方向574中移动,终端受动器108用于测量销468的位置。
在理想条件下,在没有任何关节或其他误差的情况下,机器人106应该测量销468的相同中心位置470,而与机器人(例如,图1的机器人106、图1的机器人118、图2的机器人210、图3的机器人310)的姿势无关。
另外参照图6,这是根据某些实施方式的图示机器人(例如,图1的机器人106、图1的机器人118、图2的机器人210、图3的机器人310等)测量固定位置(例如,图4至图5的销468)的示例坐标数据点的图表。在图6中,销468的实际位置在坐标数据点(0.0,0.0)处。如图6中所示,机器人很少位于销468的实际位置。若自动教导工艺依赖于图6中所示的测量结果或类似的测量结果,则机器人将无法正确定位终端受动器108。
为了改善自动教导、校准、诊断等,排除关节误差。通过处理装置、控制器或其他装置记录已知参考(例如,销468)的坐标数据点。在一些实施方式中,依据从在校准步骤(校准操作,设零)期间取得的预校准数据所得到的值,针对坐标数据点进行线性拟合。线性拟合的实例通过图6中的线来展示。在一些实施方式中,确定测量结果指示中心470的均值、众数(mode)和标准差中的至少一者。依据均值、众数和标准差中的至少一者丢弃构成极端值和统计离群值的坐标。统计离群值的实例展示于图6中。然后,在没有所丢弃的离群值的情况下,剩余的坐标数据点经由应用第二线性拟合而重新平均。然后,第二线性拟合的数据用于自动教导程序期间的更准确定位。在一些实施方式中,将基板105放置在终端受动器108上,并且依据围绕已知并且/或者固定的位置移动的基板的边缘来执行上述工艺。
图7是图示根据某些实施方式的示例性系统700(示例性系统架构)的方框图。系统700包括客户端装置720、制造装备724(例如,图1的处理系统100)、传感器726、计量装备728、预测服务器712和数据储存740。预测服务器712是预测系统710的部分。预测系统710进一步包括服务器机器770和780。
传感器726提供与制造装备724相关联(例如,与移动的机器人相关联)的传感器数据742(例如,识别固定位置的坐标数据点、固定位置的图像等)。传感器数据742用于确定用于执行校正动作(例如,校准、自动教导、诊断等)的误差值。制造装备724用于按照配方或在一段时间内运行来生产产品。在一些实施方式中,在产品生产之前或之后接收传感器数据742。在一些实施方式中,在一段时间(例如,对应于配方或运行的至少一部分的一段时间)内从不同传感器726接收传感器数据742的至少一部分。
传感器726提供相对于制造装备724与一个或多个固定位置的传感器数据742。在一些实施方式中,传感器726包括用于确定相对于机器人的固定位置的机器人的光源与光接收器(例如,在机器人的终端受动器内)。在一些实施方式中,传感器726包括经配置以确定相对于机器人的固定位置的成像装置。在一些实例中,成像装置附接至机器人(例如,附接至腕部构件)或设置于机器人上(例如,设置于成像基板、晶片上的成像装置等上)。传感器726相对
在一些实施方式中,处理(例如,通过客户端装置720和/或通过预测服务器712)传感器数据742(例如,历史传感器数据744、当前传感器数据752等)。传感器数据742的处理包括移除离群值、拟合传感器数据742、执行回归、找到线性斜率和/或类似者中的一者或多者。
误差值760包括与制造装备724的一个或多个部件相关联的数据。在一些实例中,误差值760包括关节的旋转误差、机器人的连杆的长度误差和/或类似者。在一些实施方式中,误差值760用于监督机器学习。
误差值760包括对制造装备的部件(例如,相同类型、相同零件号码的部件)的变化的指示。在一些实施方式中,确定部件的变化用于针对产品到产品的均匀性的腔室匹配。在一些实例中,机器人的关节的旋转误差造成内容物的错位,而造成内容物、机器人和/或处理系统的损伤。在一些实例中,误差值760造成产品到产品的变化。依据误差值760而造成校正动作改善产品的品质,减少对于制造装备724的损伤,减少对于处理系统的损伤和/或类似者。
在一些实施方式中,预测系统710使用监督机器学习(例如,监督数据集合、包括所测量数据的误差值760等)来产生预测数据768。在一些实施方式中,预测系统710使用半监督学习(例如,半监督数据集合、误差值760是预测百分比等)来产生预测数据768。在一些实施方式中,预测系统710使用无监督机器学习(例如,无监督数据集合、聚类(clustering)、基于误差值760的聚类等)来产生预测数据768。
客户端装置720、制造装备724、传感器726、计量装备728、预测服务器712、数据储存740、服务器机器770和服务器机器780经由网络730耦接到彼此,以产生预测数据768来执行校正动作。
在一些实施方式中,网络730是公共网络,所述公共网络向客户端装置720提供对预测服务器712、数据储存740和其他公共可使用的计算装置的访问。在一些实施方式中,网络730是私有网络,所述私有网络向客户端装置720提供对制造装备724、传感器726、计量装备728、数据储存740和其他私有可使用的计算装置的访问。网络730包括一个或多个广域网(WAN)、局域网LAN)、有线网络(例如,以太网)、无线网络(例如,802.11网络或Wi-Fi网络)、蜂窝网络(例如,长期演进(LTE)网络)、路由器、集线器、交换器、服务器计算机、云计算网络和/或上述项的组合。
客户端装置720包括计算装置(例如,个人计算机(PC)、膝上型计算机、行动电话、智能手机、平板电脑、上网本计算机、网络连接的电视(“智能电视”)、网络连接的媒体播放器(例如,蓝光播放器)、机顶盒、过顶(over-the-top;OTT)流媒体装置(streamingdevice)、操作器盒等)。客户端装置720包括校正动作部件722。校正动作部件722接收与制造装备724相关联的指示的使用者输入(例如,经由图形用户界面(GUI),图形用户界面(GUI)经由客户端装置720显示)。在一些实施方式中,校正动作部件722将指示发射至预测系统710,从预测系统710接收输出(例如,预测数据768),依据输出来确定校正动作,并且造成校正动作实施。在一些实施方式中,校正动作部件722(例如,从数据储存740等)取得与制造装备724相关联的传感器数据742(例如,当前传感器数据752),并且提供与制造装备724相关联的传感器数据742(例如,当前传感器数据752)至预测系统710。在一些实施方式中,校正动作部件722将传感器数据742储存在数据储存740中,而预测服务器712从数据储存740取回传感器数据742。在一些实施方式中,预测服务器712将经训练的机器学习模型790的输出(例如,预测数据768)储存在数据储存740中,而客户端装置720从数据储存740取出输出。在一些实施方式中,校正动作部件722从预测系统710接收校正动作的指示,并且造成校正动作实施。每个客户端装置720包括允许使用者进行以下项中的一项或多项的操作系统:产生、查看、或编辑数据(例如,与制造装备724相关联的指示、与制造装备724相关联的校正动作等)。
在一些实施方式中,历史误差值762包括历史传感器数据744的至少一部分的中值、历史传感器数据744的至少一部分的标准差的收敛、来自LCF装置的LCF传感器数据、来自对准器传感器装置的对准器传感器数据、来自一个或多个第三方传感器的第三方传感器数据和/或类似者中的一者或多者。在一些实施方式中,预测数据768与预测误差值(例如,预测旋转误差、预测长度误差等)对应。在一些实施方式中,预测数据768是异常(例如,异常部件、异常制造装备724等)的指示。在一些实施方式中,预测数据768是制造装备724的部件的寿命终止的指示。
就所使用的时间、所使用的计量装备728、所消耗的能量、用于发送计量数据的带宽、用于处理计量数据的处理器总开销(overhead)等而言,执行计量可能是花费高昂的。通过输入传感器数据742(例如,坐标数据点、图像、当前传感器数据752等)和接收预测数据768的输出,系统700的技术优势可为避免使用计量装备728来产生针对当前传感器数据752的当前误差值764的花费高昂的工艺。
执行导致缺陷产品的制造工艺可能在时间、能量、产品、部件、制造装备724、识别缺陷和丢弃缺陷产品的成本等方面而是花费高昂的。通过输入传感器数据742(例如,坐标数据点、图像、当前传感器数据752等),接收预测数据768的输出,和依据预测数据768执行校正动作,系统700的技术优势可以是避免生产、识别和丢弃缺陷产品的成本。
执行导致制造装备724的部件故障的制造工艺可能在停机时间、产品损伤、装备损伤、快速订购替换部件等方面是花费高昂的。通过输入传感器数据742(例如,坐标数据点、图像、当前传感器数据752等),接收预测数据768的输出,和依据预测数据968执行校正动作(例如,预测的操作维护(例如,部件的替换、处理、清洁等)),系统700的技术优势可以是避免意外的部件故障、没有预定时间的停机时间、生产力损失、意外的装备故障、产品报废或类似者中的一者或多者的成本。
校正动作与校准、自动教导、诊断、计算过程控制(CPC)、统计过程控制(SPC)(例如,用于确定受控制的工艺的电子部件上的SPC,用于预测部件的有用的使用寿命的SPC、与三西格玛(3-sigma)图进行比较的SPC等)、先进工艺控制(APC)、基于模型的工艺控制、预测操作维护、设计优化、制造参数的更新、反馈控制、机器学习修改或类似者中的一者或多者相关联。
在一些实施方式中,校正动作包括若预测数据768指示所预测的异常(例如,机器人的引导位置与机器人的实际位置之间的差异),则提供警报(例如,用于停止或不执行制造工艺的警报)。在一些实施方式中,校正动作包括提供反馈控制(例如,响应于指示所预测的异常的预测数据768而修改机器人、对准器装置和/或LCF装置的位置)。在一些实施方式中,校正动作包括提供机器学习(例如,依据预测数据768而修改一个或多个位置)。
预测服务器712、服务器机器770和服务器机器780中的每一者包括一个或多个计算装置(例如,机架式服务器、路由器计算机、服务器计算机、个人计算机、大型计算机、膝上型计算机、平板电脑、台式计算机、图形处理单元(GPU)、加速器专用集成电路(ASIC)(例如,张量处理单元(TPU))等)。
预测服务器712包括预测部件714。在一些实施方式中,预测部件714接收当前传感器数据752(例如,从客户端装置720接收,从数据储存740取回)并且产生输出(例如,预测数据768),以用于依据当前传感器数据752来执行与制造装备724相关联的校正动作。在一些实施方式中,预测部件714使用一个或多个经训练的机器学习模型790来确定输出,以用于依据当前传感器数据752来执行校正动作。
在一些实施方式中,预测部件714接收当前传感器数据752,将当前传感器数据752作为输入提供至经训练的机器学习模型790,并且从经训练的机器学习模型790取得指示预测数据768的输出。
使用历史传感器数据744和历史误差值760来训练经训练的机器学习模型790。
数据储存740是存储器(例如,随机存取存储器)、驱动器(例如,硬盘驱动器、闪存驱动器)、数据库系统、或能够储存数据的另一类型的部件或装置。数据储存740包括跨越多个计算装置(例如,多个服务器计算机)的多个储存部件(例如,多个驱动器或多个数据库)。数据储存740储存传感器数据742、误差值760和预测数据768。传感器数据742包括历史传感器数据744和
当前传感器数据752。误差值760包括历史误差值762和当前误差值764。历史5传感器数据744和历史误差值762是历史数据(例如,用于训练机器学习模型790的至少一部分)。当前传感器数据744是当前数据(例如,在历史数据之后输入至经训练的机器学习模型790的至少一部分),针对所述当前数据而产生预测数据768(例如,用于执行校正动作)。在一些实施方式中,当前误差值764也是当前数据(例如,用于重新训练经训练的机器学习模型)。
0在一些实施方式中,预测系统710进一步包括服务器机器770与服务器机
器780。服务器机器770包括能够产生数据集合(例如,一组数据输入和一组目标输出)的数据集合产生器772,以训练、验证和/或测试机器学习模型790。数据集合产生器772的一些操作在下面相对于图8和图10A详细描述。在
一些实施方式中,数据集合产生器772将历史数据(例如,历史传感器数据5 744、历史误差值762)划分成训练集合(例如,历史数据的百分之六十)、验证集合(例如,历史数据的百分之二十)和测试集合(例如,历史数据的百分之二十)。在一些实施方式中,预测系统710(例如,经由预测部件714)产生多组特征。举例而言,第一组特征对应于传感器数据的第一组类型(例如,来自第一组传感器、来自第一组传感器的值的第一组合、来自第一0组传感器的值的第一模式),传感器数据的第一组类型对应于数据集合(例
如,训练集合、验证集合和测试集合)中的每一者,而第二组特征对应于传感器数据的第二组类型(例如,来自与第一组传感器不同的第二组传感器、与第一组合不同的值的第二组合、与第一模式不同的第二模式),传感器数据的第二组类型对应于数据集合中的每一者。
5服务器机器780包括训练引擎782、验证引擎784、选择引擎785、和/或测
试引擎786。引擎(例如,训练引擎782、验证引擎784、选择引擎785和测试引擎786)表示硬件(例如,电路、专用逻辑、可编程逻辑、微代码、处理装置等)、软件(例如,处理装置、通用计算机系统或专用机器上运行的指
令)、固件、微代码或上述项的组合。训练引擎782能够使用与来自数据集合0产生器772的训练集合相关联的一组或多组特征来训练机器学习模型790。在一些实施方式中,训练引擎782产生多个经训练的机器学习模型790,其中每个经训练的机器学习模型790对应于训练集合的不同组的特征(例如,来自不同组传感器的传感器数据)。举例而言,使用所有特征(例如X1-X5)来训练第一经训练的机器学习模型,使用第一特征子集(例如,X1、X2、X4)来训练第二经训练的机器学习模型,并且使用与第一特征子集部分重叠的第二特征子集(例如,X1、X3、X4和X5)来训练第三经训练的机器学习模型。
验证引擎784能够使用来自数据集合产生器772的验证集合的对应特征集合来验证经训练的机器学习模型790。举例而言,使用验证集合的第一组特征来验证使用训练集合的第一组特征来训练过的第一经训练的机器学习模型790。验证引擎784依据验证集合的对应特征组来确定经训练的机器学习模型790中的每一者的准确度。验证引擎784丢弃具有不满足阈值准确度的准确度的经训练的机器学习模型790。在一些实施方式中,选择引擎785能够选择具有满足阈值准确度的准确度的一个或多个经训练的机器学习模型790。在一些实施方式中,选择引擎785能够选择具有经训练的机器学习模型790的最高准确度的经训练的机器学习模型790。
测试引擎786能够使用来自数据集合产生器772的测试集合的对应特征组来测试经训练的机器学习模型790。举例而言,使用测试集合的第一组特征来测试使用训练集合的第一组特征来训练过的第一经训练的机器学习模型790。测试引擎786依据测试集合来确定具有所有经训练的机器学习模型中最高准确度的经训练的机器学习模型790。
机器学习模型790指的是通过训练引擎782使用训练集合所建立的模型制品,训练集合包括数据输入和对应的目标输出(相应训练输入的正确答案)。可以找到数据集合中的模式,以将数据输入映射至目标输出(正确答案),并且对机器学习模型790提供捕获这些模式的映射。机器学习模型790使用支持向量机(SVM)、径向基函数(RBF)、聚类、监督机器学习、半监督机器学习、无监督机器学习、k最近邻算法(k-NN)、线性回归、随机森林、神经网络(例如,人工神经网络)等中的一者或多者。
预测部件714将当前传感器数据752提供至经训练的机器学习模型790,并且在输入上运行经训练的机器学习模型790,以取得一个或多个输出。预测部件714能够确定(例如,提取)来自经训练的机器学习模型790的输出的预测数据768并且确定(例如,提取)来自指示预测数据768对应于与当前传感器数据752相关联的制造装备724的当前数据(例如,当前误差值764)的置信水平的输出的置信度数据。预测部件714或校正动作部件722使用置信度数据来决定是否依据预测数据768造成与制造装备724相关联的校正动作。
在一个实例中,置信水平是在0与1之间(包括0与1)的实数,其中0指示对预测数据768对应于与当前传感器数据752相关联的当前误差值没有信心,而1指示对预测数据768对应于与当前传感器数据752相关联的当前误差值764有绝对的信心。在一些实施方式中,系统700使用预测系统710来确定预测数据768,而不是使用计量装备728来确定当前误差值764。在一些实施方式中,响应于指示置信水平低于阈值水平的置信度数据,系统700造成计量装备728产生当前误差值764。响应于针对预定数量的实例(例如,实例的百分比、实例的频率、实例的总数量等)指示置信水平低于阈值水平的置信度数据,预测部件714造成重新训练经训练的机器学习模型790(例如,依据当前传感器数据752与当前误差值764等)。
为了说明而非限制,本公开内容的方面描述使用历史数据(例如,历史传感器数据744、历史误差值762)来训练一个或多个机器学习模型790,并且将当前数据(例如,当前传感器数据752)输入至一个或多个经训练的机器学习模型790中来确定预测数据768(例如,当前误差值764)。在其他实施方案中,启发式模型或基于规则的模型用于确定预测数据768(例如,不使用经训练的机器学习模型)。预测部件714监测历史传感器数据744与历史误差值762。在一些实施方式中,在启发式模型或基于规则的模型中监测或以其它方式使用相对于图8的数据输入810所描述的任何信息。
在一些实施方式中,通过更少数量的机器提供客户端装置720、预测服务器712、服务器机器770和服务器机器780的功能。举例而言,在一些实施方式中,服务器机器770和服务器机器780整合至单个机器中,而在一些其他实施方式中,服务器机器770、服务器机器780和预测服务器712整合至单个机器中。在一些实施方式中,客户端装置720与预测服务器712整合至单个机器中。
一般而言,在一个实施方式中描述为通过客户端装置720、预测服务器712、服务器机器770和服务器机器780执行的功能,在其他实施方式中若合适的话,也可以在预测服务器712上执行。此外,可以通过一起操作的不同或多个部件来执行归于特定部件的功能。举例而言,在一些实施方式中,预测服务器712依据预测数据768来确定校正动作。在另一实例中,客户端装置720依据来自经训练的机器学习模型的输出来确定预测数据768。
此外,可以通过一起操作的不同或多个部件来执行特定部件的功能。通过合适的应用程序接口(API)来访问预测服务器712、服务器机器770或服务器机器780中的一者或多者,来作为提供给其他系统或装置的服务。
在实施方式中,“使用者”表示为单一个体。然而,本公开内容的其他实施方式涵盖“使用者”是通过复数个使用者和/或自动化的源所控制的实体。举例而言,认为联合为管理员群组的一组单独使用者是“使用者”。
尽管针对产生预测数据768以在制造设施(例如,半导体制造设施)中执行校正动作来讨论本公开内容的实施方式,但是实施方式通常也应用于表征(characterizing)和监测部件。实施方式通常应用于依据不同类型的数据的表征和监测。
图8是根据某些实施方式的用于建立用于机器学习模型(例如,图7的模型790)的数据集合的示例性数据集合产生器872(例如,图7的数据集合产生器772)。每个数据集合产生器872是图7的服务器机器770的部分。
数据集合产生器872使用历史传感器数据844(例如,图7的历史传感器数据744)与历史误差值862(例如,图7的历史误差值762)来建立数据集合。图8的系统800展示数据集合产生器872、数据输入810和目标输出820。
在一些实施方式中,数据集合产生器872产生包括一个或多个数据输入810(例如,训练输入、验证输入、测试输入)和对应于数据输入810的一个或多个目标输出820的数据集合(例如,训练集合、验证集合、测试集合)。数据集合还包括将数据输入810映射至目标输出820的映射数据。数据输入810也指称为“特征”、“属性”、或“信息”。在一些实施方式中,数据集合产生器872将数据集合提供至训练引擎782、验证引擎784或测试引擎786,其中数据集合用于训练、验证或测试机器学习模型790。相对于图10A进一步描述产生训练集合的一些实施方式。
在一些实施方式中,数据集合产生器872产生数据输入810与目标输出820。在一些实施方式中,数据输入810包括一组或多组历史传感器数据844。历史传感器数据844的每个实例包括来自一种或多种类型的传感器的传感器数据、来自一种或多种类型的传感器的传感器数据的组合、来自一种或多种类型的传感器的传感器数据的模式等中的一者或多者。
在一些实施方式中,数据集合产生器872产生对应于第一组历史传感器数据844A的第一数据输入,以训练、验证或测试第一机器学习模型,而数据集合产生器872产生对应于第二组历史传感器数据844B的第二数据输入,以训练、验证或测试第二机器学习模型。
在一些实施方式中,数据集合产生器872将数据输入810或目标输出820中的一者或多者离散化(例如,分段)(例如,以用于针对回归问题的分类算法)。数据输入810或目标输出820的离散化(例如,经由滑动窗口的分段)将变量的连续值转换成离散值。在一些实施方式中,数据输入810的离散值指示离散的历史传感器数据844,以取得目标输出820(例如,离散的误差值862)。
用于训练、验证或测试机器学习模型的数据输入810和目标输出820包括用于特定设施(例如,用于特定半导体制造设施)的信息。举例而言,历史传感器数据844用于相同制造设施。在另一实例中,历史传感器数据844与历史误差值862用于相同制造设施。
在一些实施方式中,用于训练机器学习模型的信息来自具有特定特性的制造设施的特定类型的制造装备724,并且允许经训练的机器学习模型依据针对与共享特定群组的特性的一个或多个部件相关联的当前传感器数据(例如,当前传感器数据752)的输入来确定用于特定群组的制造装备724的结果。在一些实施方式中,用于训练机器学习模型的信息用于来自二个或更多个制造设施的部件,并且允许经训练的机器学习模型依据来自一个制造设施的输入来确定用于部件的结果。
在一些实施方式中,在产生数据集合并且使用数据集合训练、验证或测试机器学习模型790之后,进一步训练、验证或测试(例如,图7的当前误差值764)或调整(例如,调整与机器学习模型790的输入数据相关联的权重(例如,神经网络中的连接权重))机器学习模型790。
图9是图示根据某些实施方式的用于产生预测数据968(例如,图7的预测数据768)的系统900的方框图。系统900用于依据预测数据968来确定与制造装备724相关联的校正动作。
在方框910处,系统900(例如,图7的预测系统710)执行历史数据(例如,历史传感器数据944、历史误差值962)的数据划分(例如,经由图7的服务器机器770的数据集合产生器772),以产生训练集合902、验证集合904和测试集合906。在一些实例中,训练集合是历史数据的60%,验证集合是历史数据的20%,而测试集合是历史数据的20%。系统900产生用于训练集合、验证集合和测试集合中的每一者的复数组特征。举例而言,若历史数据包括从来自20个传感器(例如,图7的传感器726)与100个部件(例如,各自对应于来自20个传感器的传感器数据的部件)的传感器数据所得到的特征,则第一组特征是传感器1-10,第二组特征是传感器11-20,训练集合是部件1-60,验证集合是部件61-80,并且测试集合是部件81-100。在此实例中,训练集合的第一组特征是用于部件1-60的来自传感器1-10的传感器数据。
在方框912中,系统900使用训练集合902来执行模型训练(例如,经由图7的训练引擎782)。系统900使用训练集合902的多组特征(例如,训练集合902的第一组特征、训练集合902的第二组特征等)来训练多个模型。举例而言,系统900训练机器学习模型,以使用训练集合中的第一组特征(例如,针对部件1-60的来自传感器1-10的传感器数据)来产生第一经训练的机器学习模型,并且使用训练集合中的第二组特征(例如,针对部件1-60的来自传感器11-20的传感器数据)来产生第二经训练的机器学习模型。在一些实施方式中,组合第一经训练的机器学习模型与第二经训练的机器学习模型,以产生第三经训练的机器学习模型(例如,第三经训练的机器学习模型本身是较第一经训练的机器学习模型或第二经训练的机器学习模型更好的预测器)。在一些实施方式中,用于比较模型的特征组彼此重叠(例如,第一组特征是来自传感器1-15的传感器数据,而第二组特征是传感器5-20)。在一些实施方式中,产生数百个模型,包括具有特征的各种排列的模型和模型的组合。
在方框914处,系统900使用验证集合904来执行模型验证(例如,经由图7的验证引擎784)。系统900使用验证集合904的对应特征组来验证经训练的模型中的每一者。举例而言,系统900使用验证集合中的第一组特征(例如,针对部件61-80的来自传感器1-10的传感器数据)来验证第一经训练的机器学习模型,并且使用验证集合中的第二组特征(例如,针对部件61-80的来自传感器11-20的传感器数据)来验证第二经训练的机器学习模型。在一些实施方式中,系统900验证方框912处所产生的数百个模型(例如,具有特征的各种排列的模型、模型的组合等)。在方框914处,系统900确定一个或多个经训练的模型中的每一者的准确度(例如,经由模型验证),并且确定经训练的模型中的一者或多者的准确度是否满足阈值准确度。响应于确定经训练的模型的准确度都不满足阈值准确度,流程返回至方框912,此处系统900使用训练集合的不同特征组来执行模型训练。响应于确定经训练的模型中的一者或多者的准确度满足阈值准确度,流程继续至方框916。系统900(例如,依据验证集合)丢弃具有低于阈值准确度的准确度的经训练的机器学习模型。
在方框916处,系统900执行模型选择(例如,经由图7的选择引擎785),以确定满足阈值准确度的一个或多个经训练的模型中的哪一个具有最高的准确度(例如,依据方框914的验证的所选择的模型908)。响应于确定满足阈值准确度的经训练的模型中的二个或更多个具有相同准确度,流程返回至方框912,此处系统900使用对应于进一步细化(refine)的特征组的进一步细化的训练集合来执行模型训练,以用于确定具有最高准确度的经训练的模型。
在方框918处,系统900使用测试集合906执行模型测试(例如,经由图7的测试引擎786),以测试所选择的模型908。系统900使用测试集合中的第一组特征(例如,针对部件81-100的来自传感器7-10的传感器数据)来测试第一经训练的机器学习模型,以确定第一经训练的机器学习模型满足阈值准确度(例如,依据测试集合906的第一组特征)。响应于所选择的模型908的准确度不满足阈值准确度(例如,所选择的模型908过拟合于(overly fit to)训练集合902和/或验证集合904,而无法适用于其他数据集合(例如,测试集合906)),流程继续至方框912,此处系统900使用对应于不同特征组(例如,来自不同传感器的传感器数据)的不同训练集合来执行模型训练(例如,重新训练)。响应于依据测试集合906而确定所选择的模型908的准确度满足阈值准确度,流程继续至方框920。在至少方框912中,模型学习历史数据中的模式以进行预测,并且在方框918中,系统900将所述模型应用于其余数据(例如,测试集合906)上,以测试预测。
在方框920处,系统900使用经训练的模型(例如,所选择的模型908)来接收当前传感器数据952(例如,图7的当前传感器数据752),并且从经训练后的模型的输出来确定(例如,提取)预测数据968(例如,图7的预测数据768),以执行与制造装备724相关联的校正动作。在一些实施方式中,当前传感器数据952对应于历史传感器数据944中的相同类型的特征。在一些实施方式中,当前传感器数据952对应于与用于训练所选择的模型908的历史传感器数据944中的类型的特征的子集相同类型的特征。
在一些实施方式中,接收当前数据。当前数据包括当前误差值964(例如,图7的当前误差值764)。从计量装备(例如,图7的计量装备728)、LCF装置、对准器装置、第三方传感器数据和/或经由使用者输入来接收当前数据。依据当前数据来重新训练模型908。在一些实施方式中,依据当前数据和当前传感器数据952来训练新模型。
在一些实施方式中,操作910-920中的一个或多个以各种顺序发生并且/或者与本文未呈现和描述的其他动作一起发生。在一些实施方式中,不执行操作910-920中的一个或多个。举例而言,在一些实施方式中,不执行方框910的数据划分、方框914的模型验证、方框916的模型选择或方框918的模型测试中的一者或多者。
图10A至图10D是根据某些实施方式的与确定误差值来造成校正动作相关联的方法1000A-1000D的流程图。通过处理逻辑来执行方法1000A-1000D,处理逻辑包括硬件(例如,电路、专用逻辑、可编程逻辑、微代码、处理装置等)、软件(例如,处理装置、通用计算机系统或专用机器上运行的指令)、固件、微代码或上述项的组合。在一些实施方式中,方法1000A-1000D部分地通过预测系统710执行。方法1000A部分地通过预测系统710(例如,图7的服务器机器770与数据集合产生器772、图8的数据集合产生器872)执行。根据本公开内容的实施方式,预测系统710使用方法1000A来产生数据集合,以进行以下项中的至少一者:训练、验证或测试机器学习模型。方法1000B通过预测服务器712(例如,预测部件714)执行。方法1000C-1000D通过服务器机器780(例如,训练引擎782等)执行。在一些实施方式中,非暂时性储存介质储存指令,当通过处理装置(例如,预测系统710、服务器机器780、预测服务器712等的处理装置)执行指令时,造成处理装置执行方法1000A-1000D中的一者或多者。
为了简化说明,方法1000A-1000D描绘并且描述为一系列的操作。然而,根据本公开内容的操作可以以各种顺序并且/或者并行地发生,并且与本文中未呈现和描述的其他操作一起发生。此外,并非执行所有所示的操作来实现根据所公开主题的方法1000A-1000D。此外,本领域技术人员将理解,方法1000A-1000D可以替代地经由状态图或事件表示为一系列相互关联的状态。
图10A是根据某些实施方式的产生用于产生预测数据(例如,图7的预测数据768)的机器学习模型的数据集合的方法1000A的流程图。
参照图10A,在一些实施方式中,在方框1001处,实施方法1000A的处理逻辑将训练集合T初始化成空集合。
在方框1002处,处理逻辑产生包括传感器数据(例如,图7的历史传感器数据744、图8的历史传感器数据844、图9的历史传感器数据944)的第一数据输入(例如,第一训练输入、第一验证输入)。在一些实施方式中,第一数据输入包括针对传感器数据的类型的第一组特征,而第二数据输入包括针对传感器数据的类型的第二组特征(例如,如相对于图8所描述的)。
在方框1003处,处理逻辑产生用于数据输入中的一者或多者(例如,第一数据输入)的第一目标输出。在一些实施方式中,第一目标输出是历史误差值762。
在方框1004处,处理逻辑可选地产生指示输入/输出映射的映射数据。输入/输出映射(或映射数据)指的是数据输入(例如,本文中所述的数据输入中的一者或多者)、针对数据输入的目标输出(例如,其中目标输出识别历史误差值762)和数据输入与目标输出之间的关联。
在方框1005处,处理逻辑将方框1004处所产生的映射数据添加至数据集合T。
在方框1006处,依据数据集合T是否足够用于训练、验证和/或测试机器学习模型790中的至少一者来将处理逻辑进行分支。若是,则执行行进至方框1007,否则执行继续回到方框1002处。应注意,在一些实施方式中,仅依据数据集合中的输入/输出映射的数量来确定数据集T的足够性,而在一些其他实施方式中,除了输入/输出映射的数量之外或不使用输入/输出映射的数量,依据一个或多个其他标准(例如,数据实例的多样性的测量、准确度等)来确定数据集合T的足够性。
在方框1007处,处理逻辑提供数据集合T(例如,提供至服务器机器780),以训练、验证和/或测试机器学习模型790。在一些实施方式中,数据集合T是训练集合,并且被提供至服务器机器780的训练引擎782,以执行训练。在一些实施方式中,数据集合T是验证集合,并且被提供至服务器机器780的验证引擎784,以执行验证。在一些实施方式中,数据集合T是测试集,并且被提供至服务器机器780的测试引擎786,以执行测试。在神经网络的情况下,举例而言,将给定输入/输出映射的输入值(例如,与数据输入810相关联的数值)输入至神经网络,并且将输入/输出映射的输出值(例如,与目标输出820相关联的数值)储存于神经网络的输出节点中。然后,根据学习算法(例如,反向传播等)调整神经网络中的连接权重,并且针对数据集合T中的其他输入/输出映射重复所述过程。在方框1007之后,机器学习模型(例如,机器学习模型790)可以进行以下项中的至少一项:使用服务器机器780的训练引擎782进行训练、使用服务器机器780的验证引擎784进行验证或使用服务器机器780的测试引擎786进行测试。通过预测部件714(预测服务器712的预测部件714)实施经训练的机器学习模型,以产生用于执行与制造装备724相关联的校正动作的预测数据768。
图10B是用于确定误差值以执行校正动作的方法1000B。
在方法1000B的方框1010处,处理逻辑将机器人以相对于固定位置的姿势定位。在一些实施方式中,通过隔离机器人的一个或多个部分的移动(例如,仅移动腕部构件处的关节等),处理逻辑将机器人以不同姿势定位。
在一些实施方式中,响应于接收装载口的选择的使用者输入,处理逻辑造成机器人延伸进入基板载体(例如,FOUP、自动教导封闭系统)中,处理逻辑造成机器人扫描基板载体中的销,并且处理逻辑造成测试获取和测试放置操作来验证正确教导的站点(例如,姿势、位置)。
在一些实施方式中,响应于接收SSP的选择的使用者输入,处理逻辑造成机器人延伸进入SSP中,处理逻辑造成机器人扫描SSP中的销(例如,永久销),并且处理逻辑造成测试获取和测试放置操作来验证正确教导的站点(例如,姿势、位置)。
在一些实施方式中,响应于接收装载锁定的选择的使用者输入,处理逻辑造成机器人延伸至装载锁定主体前方的位置,处理逻辑造成机器人扫描装载锁定主体上的一个或多个永久偏移销,并且处理逻辑造成测试获取和测试放置操作来验证正确教导的站点(例如,姿势、位置)。
在一些实施方式中,处理逻辑造成机器人扫描销的圆柱形部分。在一些实施方式中,处理逻辑确定四个位置,包括:1)从销外至销的第一周边边缘上;和2)从销上至销的第二周边边缘外;3)从销外至销的第二周边边缘上;和4)从销上至销的第一周边边缘外。
在一些实施方式中,处理逻辑造成机器人从不同角度扫描销的底座。在一些实施方式中,为了提供校准,销的至少一部分具有环绕中心销(例如,销的圆柱形部分)而具有偏斜或不对称的形状(例如,梯形)。将销的第一部分(例如,销的圆柱形部分)的对称性与销的第二部分(例如,销的梯形部分)的非对称性进行比较,以用于校准。机械臂在z方向中执行移动(例如,向上或向下移动),以扫描销的第一部分(例如,圆柱形)与第二部分(例如,梯形),以用于比较。机械臂环绕单个关节执行旋转移动,以从第一角度和从第二角度测量第二部分(例如,梯形),以用于比较。执行移动的机械臂允许比较相同近似区域的关节角度,而不需要让机器人完全改变关节姿势来扫描另一物体。在一些实施方式中,为了角度检测,使用一组偏移特征(例如,梯形部分的侧边或边缘),该组偏移特征由于扫描的角度而增加或减少分离。
在一些实施方式中,处理逻辑造成机器人扫描附接至校准基板的销,将校准基板运输至第二位置,并且再次扫描附接至校准基板的销。
在一些实施方式中,处理逻辑造成机器人将校准晶片(例如,具有槽口和销的校准晶片)放置在对准器装置或LCF装置上。处理逻辑造成对准器装置或LCF装置使用槽口来对准校准晶片,然后处理逻辑造成机器人从一个或多个姿势来扫描校准晶片的销。
在方框1012处,处理逻辑产生识别相对于在姿势中的机器人的固定位置的传感器数据。在一些实施方式中,传感器数据包括坐标数据点。在一些实施方式中,处理逻辑通过移除离群值、拟合坐标数据点、针对坐标数据点执行回归和/或类似者中的一者或多者来处理坐标数据点。
在一些实施方式中,传感器数据包括图像。在一些实施方式中,处理逻辑通过识别图像中的边缘、清除图像中的噪声、识别图像中的一个或多个物体、通过一个或多个滤波器运行图像、收敛图像中的物体的隐含位置(implied position)和实际位置和/或类似者中的一者或多者来处理图像。
在方框1014处,处理逻辑依据传感器数据来确定与基板处理系统的部件对应的误差值。
在一些实施方式中,确定表示基板处理系统的一个或多个部件的一个或多个等式。在一些实例中,等式表示机器人的连杆的每个连杆长度和机器人的关节的每个关节误差。将传感器数据输入到等式中,以确定误差值。
在一些实施方式中,图10B的方框1014包括方法1000C的方框1020-1024中的一者或多者,并且将传感器数据输入至经训练的机器学习模型中,以确定误差值。
在一些实施方式中,误差值包括相对于机器人的叶片的晶片中心的纤维束偏移、机器人的每个关节的马达或齿轮传动误差、机器人的椭圆关节误差、机器人的连杆归零偏移、部件(例如,机器人的关节、对准器装置、LCF装置)的所引导的角度与实际角度之间的差异、部件(例如,机器人的连杆等)的长度与实际长度之间的差异和/或类似者中的一者或多者。
在方框1016处,处理逻辑依据误差值来造成与部件相关联的校正动作的执行。在一些实施方式中,校正动作的执行包括下列一者或多者:依据误差值来造成部件(例如,机器人、对准器装置、LCF装置)的移动;向使用者提供警报;中断生产装备的功能;更新制造参数;计划制造装备的部件的替换;替换一个或多个部件来减少能量消耗;造成预防性维护;造成部件的修改(例如,拧紧安装螺钉、替换装配物(binding)等);或类似者。
在一些实施方式中,校正动作的执行包括依据误差值来执行自动教导操作。教导指的是向机械臂教导处理系统(例如,基板载体、装载锁定、对准器装置、LCF装置等)的位置(例如,参考点)。在一些实例中,参考点是封闭系统的中心。
在一些实施方式中,校正动作的执行包括依据误差值来执行校准操作。校准指的是确定处理系统的部件的移动中的误差(例如,关节运动学误差、关节滞后和关节回差)(例如,机器人的误差),和调整部件的设定,以补偿所确定的误差。
在一些实施方式中,机器人使用校准基板来执行校准操作。校准基板设置于处理系统中(例如,在基板载体的内部空间中的支撑结构上)。校准基板是大体上水平的,并且包括固定至校准基板的上表面的校准销。机械臂扫描校准销以确定校准基板的第一位置(例如,校准销的第一位置),将校准基板从支撑结构移除(例如,从基板载体移除校准基板),引导机械臂将校准基板放置在支撑结构上的第一位置处,扫描校准销以确定校准基板的第二位置,并且依据第一位置与第二位置之间的差异来确定误差值(例如,机械臂误差)。
在一些实施方式中,机器人使用校准晶片来执行校准操作。校准晶片是大体上水平的,包括槽口(例如,在校准晶片的侧壁上),并且包括固定至校准晶片的上表面的销。机器人扫描对准器装置以确定对准器装置的位置(例如,XY位置),并且将校准晶片放置在对准器装置上。对准器装置旋转校准晶片,以触发机器人上的映射纤维来确定第一位置。然后,机器人旋转(例如,经由关节角度(例如,腕部关节角度))以触发机器人上的映射纤维来确定第二位置。比较第一位置与第二位置来确定对准器装置和/或机器人的误差值(例如,偏航误差值)。
在一些实施方式中,执行校正动作包括依据误差值来执行诊断操作。在一些实施方式中,诊断操作包括确定部件是否故障。在一些实施方式中,处理逻辑确定误差值随着时间的变化,以确定漂移、一个或多个部件的老化、变松的带、没有润滑或磨损的滑轮、磨损的轴承、是否进行部件的替换、是否进行部件的维护、是否进一步调查部件和/或类似者的诊断。
在一些实施方式中,重复方法1000B,以确定针对处理系统的不同部件的误差值与校正动作(例如,通过隔离部件(例如,关节)来确定误差值与校正动作)。在一些实施方式中,响应于在方法1000B的一次迭代之后执行校正动作,执行方法1000B的第二迭代(例如,使用机器人的相同或不同姿势),以确定是否需要进一步的校正动作。
图10C是用于使用经训练的机器学习模型来确定误差值以执行校正动作的方法1000C。
在方框1020处,处理逻辑将传感器数据作为输入提供至经训练的机器学习模型。在一些实施方式中,从与处理系统的部件(例如,机器人、对准器装置、LCF装置等)相关联的传感器接收传感器数据。在一些实施方式中,通过图10D的方框1040-1042的一个或多个操作来训练经训练的机器学习模型。
在方框1022处,处理逻辑从经训练的机器学习模型取得指示预测数据的输出。预测数据指示下列一者或多者:制造装备的部件的移动中的预测异常;制造装备的部件中的预测异常;预测部件故障;或类似者。预测数据指示造成制造装备中的异常的变化(例如,来自用于产品到产品均匀性的腔室匹配)。举例而言,制造装备的异常特性(例如,所引导的移动与实际移动之间的差异)指示要执行校正动作。
在方框1024处,处理逻辑依据预测数据来确定误差值。在一些实施方式中,误差值包括用于处理系统的部件的移动中的偏移。
在一些实施方式中,依据误差值而执行的预测数据和/或校正动作指示造成异常的组合(例如,部件的组合、制造参数的组合)(例如,其中来自组合的仅一个项目本身不会造成异常)。
在一些实施方式中,接收与当前传感器数据对应的当前误差值。依据当前传感器数据与当前误差值,对经训练的机器学习模型进行重新训练(或训练新模型)。
图10D是用于训练机器学习模型(例如,图7的模型790B)以确定误差值(例如,图7的误差值768)来执行校正动作的方法1000D。
参照图10D,在方框1040处,处理逻辑接收与制造装备的部件的移动相关联的历史传感器数据与历史误差值。在一些实施方式中,历史轨迹数据包括相对于一个或多个固定位置的与部件相关联的坐标数据点和/或图像。在一些实施方式中,历史误差值包括所使用的偏移,而使得所引导的移动等于部件的实际移动。
在方框1042处,处理逻辑利用包括历史传感器数据的数据输入与包括历史误差值的目标输出来训练机器学习模型,以产生经训练的机器学习模型。经训练的机器学习模型能够产生指示预测数据来执行校正动作的输出(例如,参见图10C的方框1022-1024)。在一些实施方式中,针对不具有误差值的部件(例如,经校准的部件)和/或具有误差值的部件(例如,未经校准的部件),使用历史传感器数据组来训练机器学习模型。
图11是图示根据某些实施方式的计算机系统1100的方框图。在一些实施方式中,计算机系统1100是图1的控制器109、图2A至图2B的控制器228、图7的预测服务器712、图7的客户端装置720和/或类似者中的一者或多者。在一些实施方式中,计算机系统1100包括相对于图11描述的部件和/或功能中的一者或多者。
在一些实施方式中,计算机系统1100连接(例如,经由网络(例如,局域网(LAN)、内联网络、外联网络、或互联网))至其他计算机系统。计算机系统1100在客户端-服务器环境中作为服务器或客户端计算机而操作,或者在对等或分布式网络环境中作为对等计算机操作。计算机系统1100通过个人计算机(PC)、平板PC、机顶盒(STB)、个人数字助理(PDA)、手机、网络设备、服务器、网络路由器、交换机或桥接器、或能够执行指定要由所述装置采取的动作的指令集合(依序或以其他方式的)的任何装置来提供。此外,术语“计算机”应包括单独或共同执行指令集合(或多个指令集合)的计算机的任何集合,所述指令用于执行本文所述的方法中的任一者或多者。
在进一步的方面中,计算机系统1100包括经由总线1108彼此通信的处理装置1102、易失性存储器1104(例如,随机存取存储器(RAM))、非易失性存储器1106(例如,只读存储器(ROM)或电可擦可编程ROM(EEPROM))和数据储存装置1116。
处理装置1102通过一个或多个处理器(例如,通用处理器(例如,复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、实现其他类型的指令集的微处理器、或实现指令集的类型的组合的微处理器)或专用处理器(例如,专用集成电路(ASIC)、可编程逻辑门阵列(FPGA)、数字信号处理器(DSP)或网络处理器)来提供。
计算机系统1100进一步包括网络接口装置1122(例如,耦接至网络1174)。计算机系统1100还包括视频显示单元1110(例如,LCD)、字母数字输入装置1112(例如,键盘)、光标控制装置1114(例如,鼠标)和信号产生装置1120。
在一些实施方案中,数据储存装置1116包括非暂时性计算机可读储存介质1124,在非暂时性计算机可读储存介质1124上储存编码本文所述的方法或功能中的任一者或多者的指令1126,指令1126包括编码图7的部件(例如,校正动作部件722、预测部件714等)和用于实现本文所述的方法的指令。
在计算机系统1100执行指令1126期间,指令1126还完全或部分地驻留于易失性存储器1104内和/或处理装置1102内,因此,易失性存储器1104与处理装置1102也构成机器可读储存介质。
尽管在说明性实例中将计算机可读储存介质1124展示成单个介质,但是术语“计算机可读储存介质”应包括储存一组或多组可执行指令的单个介质或多个介质(例如,集中式或分布式数据库和/或相关联的高速缓存和服务器)。术语“计算机可读储存介质”还应包括能够储存或编码一组指令来通过计算机执行而造成计算机执行本文所述的方法中的任一者或多者的任何有形介质。术语“计算机可读储存介质”应包括但不限于固态存储器、光学介质、磁介质。
在一些实施方式中,本文所述的方法、部件和特征通过离散的硬件部件来实现,或者整合在其他硬件部件(例如,ASIC、FPGA、DSP或类似装置)的功能中。此外,方法、部件和特征通过硬件装置内的固件模块或功能电路来实现。此外,方法、部件和特征以硬件装置与计算机程序部件的任何组合或者以计算机程序来实现。
除非另外特别说明,否则例如“定位”、“产生”、“确定”、“造成”、“应用”、“丢弃”、“提供”、“取得”、“接收”、“训练”或类似者指的是通过计算机系统执行或实施的动作和工艺,这些动作和工艺将表示为计算机系统寄存器和存储器中的物理(电子)量的数据操纵和转换成类似地表示为计算机系统存储器或寄存器或其他这样的信息储存、传输或显示装置中的物理量的其他数据。此外,本文所使用的术语“第一”、“第二”、“第三”、“第四”意味着作为用于区分不同元件的标签,并且不具有根据这些术语的数字表示的次序意义。
本文所描述的实例还涉及用于执行本文所描述的方法的设备。在一些实施方式中,此设备专门建构成用于执行本文所述的方法,或者包括通过储存在计算机系统中的计算机程序来选择性编程的通用计算机系统。这样的计算机程序储存在计算机可读的有形储存介质中。
本文所描述的方法和说明性实例并非固有地涉及任何特定计算机或其他设备。根据本文所描述的教导来使用各种通用系统,或证明便于建构更专用的设备来执行本文所描述的方法和/或所述方法的单独功能、例程、子例程或操作中的每一者。在上面的说明中阐述各种这些系统的结构的实例。
以上说明意欲为说明性而非限制性的。尽管已经参照特定的说明性实例和实施方案来描述本公开内容,但是将认识到,本公开内容不限于所描述的实例和实施方案。本公开内容的范围应参照所附的权利要求书连同权利要求书有权利的等效物的全部范围来确定。
应理解,本公开内容易于广泛使用和应用。在不脱离本公开内容的实质或范围的情况下,可以根据本公开内容和本公开内容的先前说明而清楚或合理提出除了本文中所描述的内容之外的本公开内容的许多实施方式和改编,以及许多变型、修改和等效布置。因此,尽管本文已经相对于具体实施方式来详细描述本公开内容,但是应理解,此公开内容仅为说明性的并且呈现本公开内容的实例,并且仅是出于提供完整并且可实现的公开内容的目的而做出。本公开内容不意欲受限于所公开的特定设备、组件、系统和/或方法,相反地,本发明意欲涵盖落入权利要求范围内的所有修改、等同物和替代。

Claims (15)

1.一种方法,包含以下步骤:
在基板处理系统中相对于所述基板处理系统中的固定位置将机器人定位在复数个姿势中;
产生传感器数据,所述传感器数据识别相对于在所述复数个姿势中的所述机器人的所述固定位置;
依据所述传感器数据,确定对应于所述基板处理系统的一个或多个部件的复数个误差值;和
依据所述复数个误差值,造成与所述基板处理系统的所述一个或多个部件相关联的一个或多个校正动作的执行。
2.如权利要求1所述的方法,其中所述传感器数据包含复数个坐标数据点,并且其中所述方法进一步包含以下步骤:
将线性拟合应用至所述复数个坐标数据点;
确定所述线性拟合的均值、众数或标准差中的至少一者;
依据所述线性拟合的所述均值、所述众数或所述标准差中的所述至少一者,丢弃离群坐标数据点;和
确定不具有经丢弃的所述离群坐标数据点的所述坐标数据点的第二均值,其中所述复数个误差值包含所述第二均值。
3.如权利要求1所述的方法,其中所述传感器数据包含复数个坐标数据点,并且其中产生所述复数个坐标数据点的步骤包含以下步骤:使用延伸于终端受动器的二个点之间的光路径而通过利用所述光路径来检测所述固定位置的周边边缘上的点来识别所述固定位置,所述终端受动器耦接至所述机器人。
4.如权利要求1所述的方法,其中所述固定位置是下列项中的一者或多者:
设置于基板封闭系统中;
设置于校准晶片上;
设置于对准器装置上;
设置于位置中心查找(LCF)装置上;
销的圆柱形部分;或者
所述销的梯形底座。
5.如权利要求1所述的方法,其中所述传感器数据包含下列项中的一者或多者:
使用在所述复数个姿势中的所述机器人而相对于所述固定位置捕获的复数个图像;
使用在所述复数个姿势中的所述机器人来识别所述固定位置的第一复数个坐标数据点;
使用设置于所述基板处理系统的传送腔室中的对准器装置来识别所述固定位置的第二复数个坐标数据点;或者
使用设置于所述基板处理系统的工厂接口中的位置定中心(LCF)装置来识别所述固定位置的第三复数个坐标数据点。
6.如权利要求1所述的方法,其中所述一个或多个部件包含下列项中的一者或多者:
所述机器人的上臂;
所述机器人的前臂;
所述机器人的腕部构件,其中终端受动器耦接至所述腕部构件;
对准器装置,所述对准器装置设置于所述基板处理系统的传送腔室中;或者
位置中心查找(LCF)装置,所述位置中心查找(LCF)装置设置于所述基板处理系统的工厂接口中。
7.如权利要求1所述的方法,其中所述复数个误差值包含下列项中的一者或多者:
相对于所述机器人的叶片的晶片中心的纤维束偏移;
所述机器人的每个关节的马达或齿轮传动误差;
所述机器人的椭圆关节误差;或者
所述机器人的连杆归零偏移。
8.如权利要求1所述的方法,其中所述一个或多个校正动作的所述执行包含下列项中的一者或多者:
执行自动教导操作;
执行所述一个或多个部件的校准;或者
执行所述一个或多个部件的诊断。
9.如权利要求1所述的方法,其中确定所述复数个误差值的步骤包含以下步骤:
提供所述传感器数据来作为到经训练的机器学习模型中的输入;
从所述经训练的机器学习模型取得指示预测数据的一个或多个输出;和
依据所述预测数据来确定所述复数个误差值。
10.如权利要求9所述的方法,进一步包含以下步骤:
接收历史传感器数据和复数个历史误差值;和
利用包含所述历史传感器数据的数据输入与包含所述复数个历史误差值的目标输出来训练机器学习模型,以产生所述经训练的机器学习模型。
11.如权利要求10所述的方法,其中所述复数个历史误差值基于下列项中的一者或多者:
所述历史传感器数据的至少一部分的中值;
所述历史传感器数据的所述至少一部分的标准差的收敛;
位置中心查找(LCF)传感器数据,所述位置中心查找(LCF)传感器数据来自所述基板处理系统的LCF装置;
对准器传感器数据,所述对准器传感器数据来自所述基板处理系统的对准器装置;或者
第三方传感器数据,所述第三方传感器数据来自一个或多个第三方传感器。
12.一种储存指令的非暂时性机器可读储存介质,当执行所述指令时造成处理装置执行操作,所述操作包含以下步骤:
在基板处理系统中相对于所述基板处理系统中的固定位置将机器人定位在复数个姿势中;
产生传感器数据,所述传感器数据识别相对于在所述复数个姿势中的所述机器人的所述固定位置;
依据所述传感器数据,确定对应于所述基板处理系统的一个或多个部件的复数个误差值;和
依据所述复数个误差值,造成与所述基板处理系统的所述一个或多个部件相关联的一个或多个校正动作的执行。
13.如权利要求12所述的非暂时性机器可读储存介质,其中所述一个或多个部件包含下列项中的一者或多者:
所述机器人的上臂;
所述机器人的前臂;
所述机器人的腕部构件,其中终端受动器耦接至所述腕部构件;
对准器装置,所述对准器装置设置于所述基板处理系统的传送腔室中;或者
位置中心查找(LCF)装置,所述位置中心查找(LCF)装置位于所述基板处理系统的工厂接口中。
14.一种系统,包含:
存储器;和
处理装置,耦接至所述存储器,所述处理装置进行以下步骤:
在基板处理系统中相对于所述基板处理系统中的固定位置将机器人定位在复数个姿势中;
产生传感器数据,所述传感器数据识别相对于在所述复数个姿势中的所述机器人的所述固定位置;
依据所述传感器数据,确定对应于所述基板处理系统的一个或多个部件的复数个误差值;和
依据所述复数个误差值,造成与所述基板处理系统的所述一个或多个部件相关联的一个或多个校正动作的执行。
15.如权利要求14所述的系统,其中所述传感器数据包含复数个坐标数据点,并且其中所述处理装置进一步进行以下步骤:
将线性拟合应用至所述复数个坐标数据点;
确定所述线性拟合的均值、众数或标准差中的至少一者;
依据所述线性拟合的所述均值、所述众数或所述标准差中的至少一者,丢弃离群坐标数据点;和
确定没有经丢弃的所述离群坐标数据点的所述坐标数据点的第二均值,其中所述复数个误差值包含所述第二均值。
CN202211668008.6A 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的设备、系统和方法 Pending CN116197933A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962832585P 2019-04-11 2019-04-11
US62/832,585 2019-04-11
US16/844,765 US11279032B2 (en) 2019-04-11 2020-04-09 Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US16/844,765 2020-04-09
CN202080028073.5A CN113661034B (zh) 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的方法、储存介质和系统
PCT/US2020/027768 WO2020210701A1 (en) 2019-04-11 2020-04-10 Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202080028073.5A Division CN113661034B (zh) 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的方法、储存介质和系统

Publications (1)

Publication Number Publication Date
CN116197933A true CN116197933A (zh) 2023-06-02

Family

ID=72749020

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202080028073.5A Active CN113661034B (zh) 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的方法、储存介质和系统
CN202211668008.6A Pending CN116197933A (zh) 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的设备、系统和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202080028073.5A Active CN113661034B (zh) 2019-04-11 2020-04-10 用于改善的机器人的关节坐标教导准确度的方法、储存介质和系统

Country Status (6)

Country Link
US (1) US11279032B2 (zh)
JP (2) JP7128367B2 (zh)
KR (1) KR102577561B1 (zh)
CN (2) CN113661034B (zh)
TW (2) TW202304674A (zh)
WO (1) WO2020210701A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7214440B2 (ja) * 2018-11-01 2023-01-30 三菱重工エンジニアリング株式会社 検証処理装置、検証処理方法及びプログラム
US11592812B2 (en) * 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
US11370114B2 (en) * 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP2022084259A (ja) * 2020-11-26 2022-06-07 キヤノン株式会社 情報処理装置、情報処理方法、ロボットシステム、測定システム、ロボットシステムを用いた物品の製造方法、制御プログラム及び記録媒体
US20230185255A1 (en) * 2021-12-14 2023-06-15 Applied Materials, Inc. Post preventative maintenance chamber condition monitoring and simulation
KR20230094447A (ko) * 2021-12-21 2023-06-28 이규옥 엔드이펙터 감지센서를 갖는 풉 및 이를 이용한 데이터 통합 관리시스템
KR102624833B1 (ko) * 2022-02-25 2024-01-15 공주대학교 산학협력단 복합재로 이루어진 로봇 암의 설계 장치 및 이를 이용한 로봇 암의 설계 방법
US11817724B2 (en) * 2022-03-02 2023-11-14 Applied Materials, Inc. Enclosure system with charging assembly
JP2024055616A (ja) * 2022-10-07 2024-04-18 川崎重工業株式会社 基板搬送用ロボットシステム、および、基板搬送用ロボットによる基板の置き位置および取り位置の少なくともいずれかの補正方法
CN116442249B (zh) * 2023-06-19 2023-08-18 广东隆崎机器人有限公司 装配控制方法、装配设备及计算机可读存储介质
KR102624240B1 (ko) * 2023-07-27 2024-01-16 주식회사 아임토리 로봇 축 움직임 예측 모델을 통한 로봇 상태 진단 장치및 방법

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4763276A (en) * 1986-03-21 1988-08-09 Actel Partnership Methods for refining original robot command signals
US5297238A (en) * 1991-08-30 1994-03-22 Cimetrix Incorporated Robot end-effector terminal control frame (TCF) calibration method and device
US6489741B1 (en) * 1998-08-25 2002-12-03 Genmark Automation, Inc. Robot motion compensation system
US6323616B1 (en) 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP4364634B2 (ja) * 2001-07-13 2009-11-18 ブルックス オートメーション インコーポレイテッド 二次元3自由度ロボットアームの軌道プラニング及び移動制御戦略
KR100763096B1 (ko) 2001-09-07 2007-10-04 가부시키가이샤 야스카와덴키 웨이퍼 위치 교시 방법 및 교시용 치구
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7572092B2 (en) 2002-10-07 2009-08-11 Brooks Automation, Inc. Substrate alignment system
US7039498B2 (en) 2003-07-23 2006-05-02 Newport Corporation Robot end effector position error correction using auto-teach methodology
US20050228542A1 (en) * 2003-10-01 2005-10-13 Varian Semiconductor Equipment Associates, Inc. Auto-calibration method and device for wafer handler robots
US7792350B2 (en) 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
JP2008522836A (ja) * 2004-10-25 2008-07-03 ユニバーシティ・オブ・デイトン 運動学的ロボット・モデル・パラメータ決定によって多関節ロボットにおいて改善された精度を提供する方法及びシステム
JP4098338B2 (ja) * 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
JP5126076B2 (ja) * 2009-01-08 2013-01-23 富士通株式会社 位置測定装置、成膜方法並びに成膜プログラム及び成膜装置
KR101781808B1 (ko) 2009-01-11 2017-10-23 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시에 기판을 이송하기 위한 로봇 시스템, 장치 및 방법
US8397739B2 (en) 2010-01-08 2013-03-19 Applied Materials, Inc. N-channel flow ratio controller calibration
US9158369B2 (en) * 2010-10-12 2015-10-13 Tactonic Technologies, Llc Sensors having a connecting frame and method for composite sensors
US9524020B2 (en) * 2010-10-12 2016-12-20 New York University Sensor having a mesh layer with protrusions, and method
JP2012231041A (ja) 2011-04-27 2012-11-22 Hitachi High-Tech Control Systems Corp 基板搬送装置
US9579788B2 (en) 2012-02-10 2017-02-28 Ascent Ventures, Llc Automated testing and verification of a robotic system
US10842461B2 (en) 2012-06-21 2020-11-24 Globus Medical, Inc. Systems and methods of checking registrations for surgical systems
US9293355B2 (en) * 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10014198B2 (en) 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
JP6468159B2 (ja) * 2015-10-15 2019-02-13 株式会社安川電機 搬送システムおよび搬送方法
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
JP6487385B2 (ja) * 2016-07-20 2019-03-20 ファナック株式会社 ロボットの原点位置較正装置および方法
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US20180361579A1 (en) * 2017-06-20 2018-12-20 Michael Kelly Motion model synthesizer methods and systems
KR101957096B1 (ko) 2018-03-05 2019-03-11 캐논 톡키 가부시키가이샤 로봇 시스템, 디바이스 제조 장치, 디바이스 제조 방법 및 티칭 위치 조정방법
EP3921123A4 (en) * 2019-02-08 2022-10-26 Yaskawa America, Inc. THROUGH-BEAM MACHINE LEARNING

Also Published As

Publication number Publication date
TW202100325A (zh) 2021-01-01
CN113661034A (zh) 2021-11-16
JP2022518980A (ja) 2022-03-17
US11279032B2 (en) 2022-03-22
CN113661034B (zh) 2023-01-10
US20200324410A1 (en) 2020-10-15
WO2020210701A1 (en) 2020-10-15
JP2022191211A (ja) 2022-12-27
KR20210137248A (ko) 2021-11-17
TW202304674A (zh) 2023-02-01
KR102577561B1 (ko) 2023-09-11
JP7128367B2 (ja) 2022-08-30
TWI778348B (zh) 2022-09-21

Similar Documents

Publication Publication Date Title
CN113661034B (zh) 用于改善的机器人的关节坐标教导准确度的方法、储存介质和系统
US8260461B2 (en) Method and system for robot calibrations with a camera
CN111489984A (zh) 前开式环形盒
CN114830321B (zh) 自动教学外壳系统
US20240051144A1 (en) Centerfinding for a process kit or process kit carrier at a manufacturing system
US20230238266A1 (en) Integrated substrate measurement system
US11749543B2 (en) Chamber matching and calibration
US11817724B2 (en) Enclosure system with charging assembly
US20240170312A1 (en) Determining a substrate location threshold based on optical properties
EP4367714A1 (en) Method and mechanism for contact-free process chamber characterization

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination