CN115668056A - 半导体光致抗蚀剂组成物及使用其形成图案的方法 - Google Patents

半导体光致抗蚀剂组成物及使用其形成图案的方法 Download PDF

Info

Publication number
CN115668056A
CN115668056A CN202180036970.5A CN202180036970A CN115668056A CN 115668056 A CN115668056 A CN 115668056A CN 202180036970 A CN202180036970 A CN 202180036970A CN 115668056 A CN115668056 A CN 115668056A
Authority
CN
China
Prior art keywords
substituted
unsubstituted
group
chemical formula
photoresist composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180036970.5A
Other languages
English (en)
Inventor
文京守
姜恩美
金宰贤
金智敏
金兑镐
禹昌秀
田桓承
蔡承龙
韩承
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN115668056A publication Critical patent/CN115668056A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/025Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明涉及一种半导体光致抗蚀剂组成物及使用所述半导体光致抗蚀剂组成物形成图案的方法。所述组成物包含溶剂及由化学式1表示的有机金属化合物。化学式1的具体细节如在说明书中所定义。

Description

半导体光致抗蚀剂组成物及使用其形成图案的方法
技术领域
本揭露涉及一种半导体光致抗蚀剂组成物以及一种使用所述半导体光致抗蚀剂组成物形成图案的方法。
背景技术
极紫外线(extreme ultraviolet,EUV)微影作为制造下一代半导体装置的一项关键技术受到关注。EUV微影是使用波长为13.5纳米的EUV射线作为曝光光源的图案形成技术。根据EUV微影,已知可在半导体装置的制造期间的曝光制程中形成极为精细的图案(例如,小于或等于20纳米)。
极紫外线(EUV)微影通过开发相容的光致抗蚀剂来达成,所述光致抗蚀剂可以小于或等于16纳米的空间解析度来执行。目前,正在努力满足用于下一代装置的传统化学放大(chemically amplified,CA)光致抗蚀剂的不足规格,例如解析度、感光速度(photospeed)及特征粗糙度(或亦称为线边缘粗糙度或LER)。
由于该些聚合物型光致抗蚀剂中的酸催化反应而导致的固有影像模糊会限制小特征尺寸的解析度,此在电子束(e-beam)微影中早已众所已知。化学放大(CA)光致抗蚀剂被设计用于高灵敏度,但由于其典型的元素构成会降低光致抗蚀剂在13.5纳米波长下的光吸收率,故降低其灵敏度,因此化学放大(CA)光致抗蚀剂在EUV曝光下可能部分地具有更多的困难。
此外,由于粗糙度问题,CA光致抗蚀剂在小特征尺寸上可能有困难,且实验证明CA光致抗蚀剂的线边缘粗糙度(line edge roughness,LER)增加,乃因感光速度部分地由于酸触媒制程的本质而降低。因此,由于CA光致抗蚀剂的该些缺陷及问题,在半导体工业中需要新颖高效能光致抗蚀剂。
为克服化学放大(CA)有机感光性组成物的上述缺点,已研究了无机感光性组成物。所述无机感光性组成物主要用于负型图案化,所述负型图案化由于通过非化学放大机制进行的化学改质而具有抵抗被显影剂组成物移除的抵抗力。无机组成物包含EUV吸收率高于烃的无机元素,故可通过非化学放大机制确保灵敏度,此外,对随机效应不太敏感,因此已知具有低的线边缘粗糙度及少量缺陷。
已报道基于与钨、铌、钛和/或钽混合的钨的过氧多元酸的无机光致抗蚀剂作为用于图案化的辐射敏感材料(US 5061599;H.冈本、T.岩崎、K.莫奇、H.梅崎、T.工藤(H.Okamoto,T.Iwayanagi,K.Mochiji,H.Umezaki,T.Kudo),应用物理学快报(AppliedPhysics Letters),495,298-300,1986)。
该些材料有效地用于对双层配置的大节距进行图案化,如远紫外线(ultraviolet,UV)(深UV)、X射线及电子束源。最近,当阳离子铪金属氧化物硫酸盐(HfSOx)材料与过氧错合剂一起用于通过投影EUV曝光对15纳米半节距(half-pitch,HP)成像时,已获得了令人印象深刻的效能(US2011-0045406;J.K.斯托尔斯、A.特莱基、M.科奇斯、B.L.克拉克、D.A.凯兹勒、A.格伦维尔、C.N.安德森、P.P.纳劳(J.K.Stowers,A.Telecky,M.Kocsis,B.L.Clark,D.A.Keszler,A.Grenville,C.N.Anderson,P.P.Naulleau),国际光学工程学会会议录(Proc.SPIE),7969,796915,2011)。此系统表现出非CA光致抗蚀剂的最高效能,且具有接近EUV光致抗蚀剂要求的可实行感光速度。然而,具有过氧错合剂的铪金属氧化物硫酸盐材料具有一些实际缺点。首先,该些材料是以腐蚀性硫酸/过氧化氢的混合物来涂布,且保质期稳定性不足。第二,作为一种复合混合物,为改善效能而改变其结构是不容易的。第三,显影应在25重量%的极高浓度的四甲基氢氧化铵(tetramethylammoniumhydroxide,TMAH)溶液及类似物中执行。
最近,已进行了积极的研究,因为已知含有锡的分子具有优异的极紫外线吸收。关于其中的有机锡聚合物,烷基配体通过光吸收或由此产生的二次电子离解,且通过氧代键与相邻链交联,因此能够实现有机显影溶液可能无法移除的负型图案化。此种有机锡聚合物表现出极大改善的灵敏度,并保持解析度及线边缘粗糙度,但为了商业可用性,需要额外改善图案化特性。
发明内容
技术问题
一个实施例提供一种具有优异解析度、溶解性及储存稳定性特性的半导体光致抗蚀剂组成物。
另一实施例提供一种使用半导体光致抗蚀剂组成物形成图案的方法。
解决问题的手段
根据实施例的半导体光致抗蚀剂组成物包含由化学式1表示的有机金属化合物及溶剂。
[化学式1]
Figure BDA0003955216790000021
在化学式1中,
R为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C20脂族不饱和有机基、经取代或未经取代的C6至C30芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C20烷基)或其组合,
X、Y及Z各自独立地为-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd
Ra及Rb各自独立地为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合。
R可为经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C8脂族不饱和有机基、经取代或未经取代的C6至C20芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C8烷基)或其组合。
R可为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基、甲酰基、乙酰基、丙酰基、丁酰基或其组合。
Ra及Rb可各自独立地为经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合。
Ra及Rb可各自独立地为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合,且
Rc及Rd可各自独立地为氢、甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合。
所述有机金属化合物可包括由化学式a至化学式t表示的化合物中的一者或其组合。
[化学式a]
Figure BDA0003955216790000031
[化学式b]
Figure BDA0003955216790000041
[化学式c]
Figure BDA0003955216790000042
[化学式d]
Figure BDA0003955216790000043
[化学式e]
Figure BDA0003955216790000051
[化学式f]
Figure BDA0003955216790000052
[化学式g]
Figure BDA0003955216790000053
[化学式h]
Figure BDA0003955216790000061
[化学式i]
Figure BDA0003955216790000062
[化学式j]
Figure BDA0003955216790000063
[化学式k]
Figure BDA0003955216790000071
[化学式l]
Figure BDA0003955216790000072
[化学式m]
Figure BDA0003955216790000073
[化学式n]
Figure BDA0003955216790000081
[化学式o]
Figure BDA0003955216790000082
[化学式p]
Figure BDA0003955216790000083
[化学式q]
Figure BDA0003955216790000091
[化学式r]
Figure BDA0003955216790000092
[化学式s]
Figure BDA0003955216790000093
[化学式t]
Figure BDA0003955216790000101
在化学式a至化学式t中,对Ra至Rd的定义与上述相同。
以100重量%的半导体光致抗蚀剂组成物计,半导体光致抗蚀剂组成物可包含1重量%至30重量%的由化学式1表示的有机金属化合物。
半导体光致抗蚀剂组成物可进一步包含界面活性剂、交联剂、调平剂或其组合的添加剂。
根据实施例的形成图案的方法包括:在基板上形成蚀刻目标层,在蚀刻目标层上涂布半导体光致抗蚀剂组成物以形成光致抗蚀剂层,对光致抗蚀剂层进行图案化以形成光致抗蚀剂图案,以及使用光致抗蚀剂图案作为蚀刻遮罩来蚀刻所述蚀刻目标层。
光致抗蚀剂图案可使用波长为5纳米至150纳米的光来形成。
形成图案的方法可进一步包括设置形成于所述基板与所述光致抗蚀剂层之间的抗蚀剂底层。
光致抗蚀剂图案可具有5纳米至100纳米的宽度。
发明效果
由于根据实施例的半导体光致抗蚀剂组成物具有相对优异的解析度并且易于处理,因此其可提供具有优异极限解析度并且即使具有高纵横比亦不会塌陷的光致抗蚀剂图案。
附图说明
图1至图5是阐释根据实施例的使用半导体光致抗蚀剂组成物形成图案的方法的剖视图。
<符号说明>
100:基板
102:薄膜
104:抗蚀剂底层
106:光致抗蚀剂层
106a:被暴露区
106b:未暴露区
108:光致抗蚀剂图案
112:有机层图案
114:薄膜图案
具体实施方式
以下,参照附图,详细描述本发明的实施例。在本发明的以下描述中,为阐明本发明,将不描述众所已知的功能或结构。
为清楚地例示本揭露,省略了所述描述及关系,并且在整个揭露中,相同或类似的配置元件由相同的附图标记表示。此外,由于附图中所示的每一配置的尺寸及厚度是为更佳的理解及便于描述而任意示出,因此本发明不必仅限于此。
在附图中,为清楚起见,夸大了层、膜、面板、区等的厚度。在附图中,为清楚起见,夸大了层或区的一部分的厚度等。应理解,当称一元件(例如层、膜、区或基板)位于另一元件“上(on)”时,所述元件可直接位于所述另一元件上,或者亦可存在中间元件。
在本文中所使用的“经取代的”是指氢原子被以下置换:氘、卤素、羟基、氰基、硝基、-NRR'(其中,R及R'独立地为氢、经取代或未经取代的C1至C30饱和或不饱和脂族烃基、经取代或未经取代的C3至C30饱和或不饱和脂环族烃基或者经取代或未经取代的C6至C30芳族烃基)、-SiRR'R”(其中,R、R'及R”各自独立地为氢、经取代或未经取代的C1至C30饱和或不饱和脂族烃基、经取代或未经取代的C3至C30饱和或不饱和脂环族烃基或者经取代或未经取代的C6至C30芳族烃基)、C1至C30烷基、C1至C10卤代烷基、C1至C10烷基硅烷基、C3至C30环烷基、C6至C30芳基、C1至C20烷氧基或其组合。“未经取代的”是指氢原子未被另一个取代基置换且保留氢原子。
如本文所用,当未另外提供定义时,“烷基”是指直链或支链脂族烃基。烷基可为没有任何双键或三键的“饱和烷基”。
烷基可为C1至C8烷基。例如,烷基可为C1至C7烷基、C1至C6烷基、C1至C5烷基或C1至C4烷基。例如,C1至C4烷基可为甲基、乙基、丙基、异丙基、正丁基、异丁基、第二丁基、第三丁基或2,2-二甲基丙基。
如本文所用,当未另外提供定义时,“环烷基”是指单价环状脂族烃基。
环烷基可为C3至C8环烷基,例如C3至C7环烷基、C3至C6环烷基、C3至C5环烷基或C3至C4环烷基。例如,环烷基可为环丙基、环丁基、环戊基或环己基,但并非仅限于此。
本文中所使用的“脂族不饱和有机基”是指分子中碳原子与碳原子之间的键是双键、三键或其组合的包括所述键的烃基。
脂族不饱和有机基可为C2至C8脂族不饱和有机基。例如,脂族不饱和有机基可为C2至C7脂族不饱和有机基、C2至C6脂族不饱和有机基、C2至C5脂族不饱和有机基或C2至C4脂族不饱和有机基。例如,C2至C4脂族不饱和有机基可为乙烯基、乙炔基、烯丙基、1-丙烯基、1-甲基-1-丙烯基、2-丙烯基、2-甲基-2-丙烯基、1-丙炔基、1-甲基-1-丙炔基、2-丙炔基、2-甲基-2-丙炔基、1-丁烯基、2-丁烯基、3-丁烯基、1-丁炔基、2-丁炔基或3-丁炔基。
本文中所使用的“芳基”是指其中环状取代基中的所有原子皆具有p轨域且该些p轨域共轭的取代基,并且可包括单环或稠环多环(即,共用相邻碳原子对的环)官能基。
如本文所用,除非另有定义,否则“烯基”是指包括至少一个双键的脂族不饱和烯基,作为直链或支链脂族烃基。
如本文所用,除非另有定义,否则“炔基”是指包括至少一个三键的脂族不饱和炔基,作为直链或支链脂族烃基。
在本文中所述的式中,S指硫(S)元素。
下文中,阐述根据实施例的半导体光致抗蚀剂组成物。
根据本发明实施例的半导体光致抗蚀剂组成物包含有机金属化合物及溶剂,其中有机金属化合物由化学式1表示。
[化学式1]
Figure BDA0003955216790000121
在化学式1中,
R为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C20脂族不饱和有机基、经取代或未经取代的C6至C30芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C20烷基)或其组合,
X、Y及Z各自独立地为-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd
Ra及Rb各自独立地为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合。
由化学式1表示的化合物是有机锡化合物,其中锡可强烈吸收13.5纳米的极紫外(EUV)光,因此对具有高能量的光具有优异的灵敏度。因此,相较于传统有机和/或无机抗蚀剂,根据所述实施例的有机锡化合物可表现出优异的稳定性及灵敏度。
由于由化学式1表示的有机金属化合物基本上包括-SR基团,因此有机金属化合物形成Sn-SR键,并且Sn-SR键较Sn-OR键更强。因此,有机金属化合物在水中可具有高稳定性。此外,由于Sn-SR键对于极紫外曝光具有较Sn-OR低的键离解能,因此有机金属化合物的灵敏度特性可得到改善。因此,包括具有Sn-SR键的有机金属化合物的半导体光致抗蚀剂组成物可容易地处理,存储稳定性及溶解性特性以及灵敏度可得到提高。
另一方面,由化学式1表示的化合物包括X、Y及Z作为连接至锡元素的配体,其中X、Y及Z各自独立地为-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd。该些有机配体通过在酸性、碱性或中性触媒下热处理而水解及脱水或在不进行热处理的情况下水解及脱水,以在有机锡化合物之间形成Sn-O-Sn键,由此形成由化学式1表示的有机金属化合物衍生出的有机锡氧化物聚合物。
当配体X、Y及Z为-SRb或-SC(=O)Rd时,有机金属化合物包括Sn-S键,且Sn-S之间的键具有相对低的键强度。由于包含Sn-S键的本发明的有机金属化合物可具有改善的水稳定性,包含其的半导体光致抗蚀剂组成物的储存稳定性及溶解性可得到改善。此外,由于对极紫外曝光的键离解能相对为低,Sn-S键可表现出优异的灵敏度。配体X、Y及Z为–ORa或-OC(=O)Rc,并且当有机金属化合物包括-ORa或-OC(=O)Rc作为配体时,使用包括其的半导体光致抗蚀剂组成物形成的图案可表现出优异的极限解析度。
因此,当-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd混合并用作有机金属化合物的配体时,半导体光致抗蚀剂组成物可具有优异的储存稳定性及溶解性,同时亦具有优异的灵敏度及解析度。
此外,化学式1的X、Y及Z(即,-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd)可决定化合物在溶剂中的溶解性。
R可为例如经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、包括至少一个双键或三键的经取代或未经取代的C2至C8脂族不饱和有机基、经取代或未经取代的C6至C20芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C8烷基)或其组合,例如甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基、甲酰基、乙酰基、丙酰基、丁酰基或其组合。
Ra及Rb可例如独立地为经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合,例如甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合。
Rc及Rd可例如各自独立地为氢、经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合,例如氢、甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合。
所述有机金属化合物可包括由化学式a至化学式t表示的化合物中的一者或其组合。
[化学式a]
Figure BDA0003955216790000131
[化学式b]
Figure BDA0003955216790000141
[化学式c]
Figure BDA0003955216790000142
[化学式d]
Figure BDA0003955216790000151
[化学式e]
Figure BDA0003955216790000152
[化学式f]
Figure BDA0003955216790000153
[化学式g]
Figure BDA0003955216790000161
[化学式h]
Figure BDA0003955216790000162
[化学式i]
Figure BDA0003955216790000163
[化学式j]
Figure BDA0003955216790000171
[化学式k]
Figure BDA0003955216790000172
[化学式l]
Figure BDA0003955216790000173
[化学式m]
Figure BDA0003955216790000181
[化学式n]
Figure BDA0003955216790000182
[化学式o]
Figure BDA0003955216790000183
[化学式p]
Figure BDA0003955216790000191
[化学式q]
Figure BDA0003955216790000192
[化学式r]
Figure BDA0003955216790000193
[化学式s]
Figure BDA0003955216790000201
[化学式t]
Figure BDA0003955216790000202
在化学式a至化学式t中,对Ra至Rd的定义与上述相同。
参照由化学式a至化学式t表示的化合物,根据实施例的有机金属化合物可以各种组合包括-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd作为X、Y及Z配体。
通常使用的有机抗蚀剂具有不足的抗蚀性,因此具有高纵横比的图案可能塌陷。
另一方面,传统的无机抗蚀剂(例如,金属氧化物化合物)使用具有高腐蚀性的硫酸与过氧化氢的混合物,因此难以处理并且储存稳定性不足,作为复合混合物在结构上改变以提高效能相对困难一些,并且应使用具有高浓度的显影溶液。
相反,根据实施例的半导体抗蚀剂组成物可具有相对改善的抗蚀性、灵敏度及解析度,并且相较于传统的有机和/或无机抗蚀剂可更容易处理,乃因有机金属化合物包括如上所述各种有机基与中心金属原子键结的结构单元。
在根据实施例的半导体光致抗蚀剂组成物中,以组成物的总重量计,可以1重量%至30重量%,例如1重量%至25重量%,例如1重量%至20重量%,例如1重量%至15重量%,例如1重量%至10重量%,例如1重量%至5重量%的量包含由化学式1表示的有机金属化合物,但并非仅限于此。当以上述范围内的量包含由化学式1表示的有机金属化合物时,用于半导体光致抗蚀剂的组成物的储存稳定性及溶解性特性得到改善,有利于薄膜形成,并且解析度特性得到改善。
根据实施例的半导体抗蚀剂组成物的溶剂可为有机溶剂,且可为例如芳族化合物(例如,二甲苯、甲苯等)、醇(例如,4-甲基-2-戊烯醇、4-甲基-2-丙醇、1-丁醇、甲醇、异丙醇、1-丙醇)、醚(例如,苯甲醚、四氢呋喃)、酯(乙酸正丁酯、丙二醇单甲醚乙酸酯、乙酸乙酯、乳酸乙酯)、酮(例如,甲乙酮、2-庚酮)或其混合物,但并非仅限于此。
在实施例中,除所述有机金属化合物及溶剂之外,半导体抗蚀剂组成物可进一步包含树脂。
所述树脂可为包括群组1的至少一个芳族部分的酚醛树脂。
[群组1]
Figure BDA0003955216790000211
所述树脂的重量平均分子量可为500至20,000。
以所述半导体抗蚀剂组成物的总量计,可以0.1重量%至50重量%的量包含所述树脂。
当在上述含量范围内包含树脂时,其可具有优异的抗蚀性及耐热性。
另一方面,根据实施例的半导体抗蚀剂组成物可由有机金属化合物、溶剂及树脂组成。然而,根据所述实施例的半导体抗蚀剂组成物可更根据需要包含添加剂。所述添加剂的实施例可为界面活性剂、交联剂、调平剂、有机酸、淬火剂或其组合。
界面活性剂可包括例如烷基苯磺酸盐、烷基吡啶盐、聚乙二醇、季铵盐或其组合,但并非仅限于此。
交联剂可为例如三聚氰胺基交联剂、经取代的脲基交联剂、丙烯酸基交联剂、环氧树脂基交联剂或聚合物基交联剂,但并非仅限于此。例如,其可为具有至少两个交联形成取代基的交联剂,例如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯并胍胺、丁氧基甲基化苯并胍胺、丙烯酸4-羟基丁酯、丙烯酸、丙烯酸胺基甲酸酯、甲基丙烯酸丙烯酯(acryl methacrylate)、1,4-丁二醇二缩水甘油醚、缩水甘油、1,2-环己烷二羧酸二缩水甘油酯、三甲基丙烷三缩水甘油醚、1,3-双(缩水甘油氧丙基)四甲基二硅氧烷、甲氧基甲基化脲、丁氧基甲基化脲或甲氧基甲基化硫脲及类似物等化合物。
调平剂可用于改善印刷期间的涂层平坦度,且可为市售的已知调平剂。
有机酸可为对甲苯磺酸、苯磺酸、对十二烷基苯磺酸、1,4-萘二磺酸、甲磺酸、氟化锍盐、丙二酸、柠檬酸、丙酸、甲基丙烯酸、草酸、乳酸、乙醇酸、琥珀酸或其组合,但并非仅限于此。
淬火剂可为二苯基(对甲基苯)胺(diphenyl(p-tolyl)amine)、甲基二苯基胺、三苯基胺、苯二胺、萘胺、二胺基萘或其组合。
可依据所需性质来控制添加剂的使用量。
此外,半导体光致抗蚀剂组成物可进一步包含硅烷偶合剂作为粘附增强剂,以便提高与基板的紧密接触力(例如,以便提高半导体光致抗蚀剂组成物对基板的粘附)。硅烷偶合剂可为例如包括碳-碳不饱和键的硅烷化合物,例如乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三氯硅烷、乙烯基三(β-甲氧基乙氧基)硅烷;或3-甲基丙烯酰氧基丙基三甲氧基硅烷、3-丙烯酰氧基丙基三甲氧基硅烷、对苯乙烯基三甲氧基硅烷、3-甲基丙烯酰氧基丙基甲基二甲氧基硅烷、3-甲基丙烯酰氧基丙基甲基二乙氧基硅烷;三甲氧基[3-(苯基胺基)丙基]硅烷及类似物,但并非仅限于此。
半导体光致抗蚀剂组成物可形成为具有高纵横比而无塌陷的图案。因此,为形成具有例如5纳米至100纳米、例如5纳米至80纳米、例如5纳米至70纳米、例如5纳米至50纳米、例如5纳米至40纳米、例如5纳米至30纳米或例如5纳米至20纳米的宽度的精细图案,半导体光致抗蚀剂组成物可用于使用波长介于5纳米至150纳米、例如5纳米至100纳米、5纳米至80纳米、5纳米至50纳米、5纳米至30纳米或5纳米至20纳米范围内的光的光致抗蚀剂制程。因此,根据实施例的半导体光致抗蚀剂组成物可用于使用波长为13.5纳米的EUV光源达成极紫外微影。
根据另一实施例,提供一种使用上述半导体光致抗蚀剂组成物形成图案的方法。例如,所制造的图案可为光致抗蚀剂图案。
根据实施例的形成图案的方法包括:在基板上形成蚀刻目标层,在蚀刻目标层上涂布半导体光致抗蚀剂组成物以形成光致抗蚀剂层,对光致抗蚀剂层进行图案化以形成光致抗蚀剂图案,以及使用光致抗蚀剂图案作为蚀刻遮罩来蚀刻所述蚀刻目标层。
以下,参照图1至图5阐述使用半导体光致抗蚀剂组成物形成图案的方法。图1至图5是阐释根据实施例的使用半导体光致抗蚀剂组成物形成图案的方法的剖视图。
参照图1,制备用于蚀刻的物体。用于蚀刻的物体可为形成于半导体基板(100)上的薄膜(102)。在下文中,用于蚀刻的物体被限制于薄膜(102)。洗涤薄膜(102)的整个表面以移除残留在其上的杂质及类似物。薄膜(102)可为例如氮化硅层、多晶硅层或氧化硅层。
随后,将用于形成抗蚀剂底层(104)的抗蚀剂底层组成物旋涂在经洗涤的薄膜(102)的表面上。然而,实施例并非仅限于此,且可使用已知的各种涂布方法,例如喷涂、浸涂、刀口涂布、印刷方法(例如喷墨印刷及网版印刷)及类似物。
可省略抗蚀剂底层的涂布制程,且在下文中,阐述包括抗蚀剂底层的涂布的制程。
然后,干燥并烘烤涂布的组成物,以在薄膜(102)上形成抗蚀剂底层(104)。烘烤可在100℃至500℃、例如100℃至300℃下执行。
抗蚀剂底层(104)形成于基板(100)与光致抗蚀剂层(106)之间,因此当自基板(100)与光致抗蚀剂层(106)之间的介面或各层之间的硬遮罩上反射的射线被散射至非预期的光致抗蚀剂区中时,可防止光致抗蚀剂线宽的不均匀性及图案形成能力。
参照图2,通过在抗蚀剂底层(104)上涂布半导体光致抗蚀剂组成物来形成光致抗蚀剂层(106)。光致抗蚀剂层(106)是通过将上述半导体光致抗蚀剂组成物涂布在形成于基板(100)上的薄膜(102)上随后通过热处理将其固化而获得的。
更具体而言,通过使用半导体光致抗蚀剂组成物形成图案可包括通过旋涂、狭缝涂布、喷墨印刷及类似操作将半导体光致抗蚀剂组成物涂布在具有薄膜(102)的基板(100)上,随后将其干燥以形成光致抗蚀剂层(106)。
半导体光致抗蚀剂组成物已详细说明,将不再说明。
随后,使具有光致抗蚀剂层(106)的基板(100)经受第一烘烤制程。第一烘烤制程可在约80℃至约120℃下执行。
参照图3,可将光致抗蚀剂层(106)选择性地曝光。
例如,曝光可使用具有以下光的激活辐射:具有高能量波长的光,例如极紫外线(EUV;13.5纳米的波长)、电子束(E-Beam)及类似物;以及具有短波长的光,例如i线(365纳米的波长)、KrF准分子激光(248纳米的波长)、ArF准分子激光(193纳米的波长)及类似物。
更具体而言,根据实施例,用于曝光的光可具有介于5纳米至150纳米范围内的短波长及高能量波长,例如极紫外线(EUV;13.5纳米的波长)、电子束(E-Beam)及类似物。
通过以交联反应(例如有机金属化合物之间的缩合)形成聚合物,光致抗蚀剂层(106)的被暴露区(106a)具有与光致抗蚀剂层(106)的未暴露区(106b)不同的溶解性。
随后,使基板(100)经受第二烘烤制程。第二烘烤制程可在90℃至200℃的温度下执行。由于第二烘烤制程,光致抗蚀剂层(106)的被暴露区(106a)变得容易不溶于显影溶液。
在图4中,使用显影溶液来溶解并移除光致抗蚀剂层的未曝露区(106b),以形成光致抗蚀剂图案(108)。具体而言,通过使用例如2-庚酮及类似物等有机溶剂来溶解及移除光致抗蚀剂层的未曝露区(106b),以完成对应于负型影像的光致抗蚀剂图案(108)。
如上所述,根据实施例的形成图案的方法中使用的显影溶液可为有机溶剂。在根据实施例的形成图案的方法中使用的有机溶剂可为例如酮,例如甲乙酮、丙酮、环己酮、2-庚酮及类似物;醇,例如4-甲基-2-丙醇、1-丁醇、异丙醇、1-丙醇、甲醇及类似物;酯,例如丙二醇单甲醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁内酯及类似物;芳族化合物,例如苯、二甲苯、甲苯及类似物;或其组合。
然而,根据实施例的光致抗蚀剂图案不必限于负型影像,而是可被形成为具有正型影像。本文中,用于形成正型影像的显影剂可为季铵氢氧化物组成物,例如四乙基氢氧化铵、四丙基氢氧化铵、四丁基氢氧化铵或其组合。
如上所述,暴露于例如极紫外线(EUV;13.5纳米的波长)、电子束(E-Beam)及类似物等具有高能量的光以及具有例如i线(365纳米的波长)、KrF准分子激光(248纳米的波长)、ArF准分子激光(193纳米的波长)等波长的光可提供具有5纳米至100纳米的厚度的宽度的光致抗蚀剂图案(108)。例如,光致抗蚀剂图案(108)可具有5纳米至90纳米、5纳米至80纳米、5纳米至70纳米、5纳米至60纳米、5纳米至50纳米、5纳米至40纳米、5纳米至30纳米或5纳米至20纳米的厚度的宽度。
另一方面,光致抗蚀剂图案(108)可具有具小于或等于约50纳米、例如小于或等于约40纳米、例如小于或等于约30纳米、例如小于等于约20纳米、例如小于或等于约15纳米的半节距的节距以及小于或等于约10纳米、小于或等于约5纳米、小于或等于约3纳米、或小于或等于约2纳米的线宽粗糙度。
随后,使用光致抗蚀剂图案(108)作为蚀刻遮罩来蚀刻抗蚀剂底层(104)。通过此蚀刻制程,形成有机层图案112。有机层图案112亦可具有与光致抗蚀剂图案(108)的宽度对应的宽度。
参照图5,通过应用光致抗蚀剂图案(108)作为蚀刻遮罩来蚀刻被暴露出的薄膜(102)。因此,薄膜被形成为薄膜图案114。
薄膜(102)的蚀刻可为例如使用蚀刻气体的干蚀刻,且蚀刻气体可为例如CHF3、CF4、Cl2、BCl3及其混合气体。
在曝光制程中,通过使用光致抗蚀剂图案(108)形成的薄膜图案114可具有与光致抗蚀剂图案(108)的宽度对应的宽度,光致抗蚀剂图案(108)是通过使用EUV光源执行的曝光制程形成的。例如,薄膜图案114可具有5纳米至100纳米的宽度,所述宽度等于光致抗蚀剂图案(108)的宽度。例如,像光致抗蚀剂图案(108)的宽度一样,通过使用光致抗蚀剂图案(108)形成的薄膜图案114可具有5纳米至90纳米、5纳米至80纳米、5纳米至70纳米、5纳米至60纳米、5纳米至50纳米、5纳米至40纳米、5纳米至30纳米或5纳米至20纳米的宽度,且更具体而言具有小于或等于20纳米的宽度,光致抗蚀剂图案(108)是通过使用EUV光源执行的曝光制程形成的。
实施本发明的方式
在下文中,将通过上述半导体光致抗蚀剂组成物的制备的实施例更详细地阐述本发明。然而,本发明在技术上不受以下实施例的限制。
实施例
合成实施例1:合成中间物A
将Ph4Sn(20克,46.8毫莫耳)放入250毫升2颈圆底烧瓶中,向其中加入60毫升丙酸,随后加热回流了24小时,并在减压下自其中移除未反应的丙酸,由此以85%的产率获得由中间物A表示的化合物。
[中间物A]
Figure BDA0003955216790000251
合成实施例2:合成中间物B
将Ph4Sn(20克,46.8毫莫耳)放入250毫升2颈圆底烧瓶中,向其中加入60毫升乙酸,随后加热回流了24小时,并在减压下自其中移除未反应的乙酸,由此以90%的产率获得由中间物B表示的化合物。
[中间物B]
Figure BDA0003955216790000252
合成实施例3:合成有机锡化合物
将根据合成实施例1的由中间物A表示的化合物(5克,12.2毫莫耳)放入250毫升2颈圆底烧瓶中,向其中加入50毫升无水甲苯,并在室温下搅拌的同时,向其中缓慢滴加通过将乙硫醇(0.8克,12.2毫莫耳)溶解在20毫升无水甲苯中而制备的溶液15分钟。随后,将所得混合物在室温下搅拌了24小时。然后,在减压下自其中移除挥发性溶剂,由此以65%的产率获得由化学式2表示的化合物。
[化学式2]
Figure BDA0003955216790000261
合成实施例4:合成有机锡化合物
除了使用十二烷硫醇(2.5克,12.2毫莫耳)代替乙硫醇之外,根据与合成实施例3相同的方法,以60%的产率合成了由化学式3表示的化合物。
[化学式3]
Figure BDA0003955216790000262
合成实施例5:合成有机锡化合物
除了使用苯硫酚(1.1克,12.2毫莫耳)代替乙硫醇之外,根据与合成实施例3相同的方法,以63%的产率合成了由化学式4表示的化合物。
[化学式4]
Figure BDA0003955216790000263
合成实施例6:合成有机锡化合物
将根据合成实施例2的由中间物B表示的化合物(5克,14.1毫莫耳)放入250毫升2颈圆底烧瓶中,向其中加入50毫升无水甲苯,并在室温下搅拌的同时,向其中缓慢滴加通过将十二烷硫醇(2.8克,14.1毫莫耳)溶解在20毫升无水甲苯中而制备的溶液15分钟。然后,将所获得的混合物在室温下搅拌了24小时。随后,在减压下自其中移除挥发性溶剂,由此以66%的产率获得由化学式5表示的化合物。
[化学式5]
Figure BDA0003955216790000271
合成实施例7:合成有机锡化合物
将根据合成实施例2的由中间物B表示的化合物(5克,14.1毫莫耳)放入250毫升2颈圆底烧瓶中,向其中加入50毫升无水甲苯,并在室温下搅拌的同时,向其中缓慢滴加通过将乙硫醇(5.7克,28.2毫莫耳)溶解在30毫升无水甲苯中而制备的溶液15分钟。然后,将所获得的混合物在室温下搅拌了24小时。
随后,在减压下自其中移除挥发性溶剂,由此以62%的产率获得由化学式6表示的化合物。
[化学式6]
Figure BDA0003955216790000272
比较合成实施例1
将二氯化二丁基锡(10克,33毫莫耳)溶解在30毫升乙醚中,且向其中加入70毫升1莫耳/升(M)氢氧化钠(NaOH)水溶液,随后搅拌了1小时。在搅拌后,过滤其中产生的固体,用25毫升去离子水洗涤了三次,并在减压下在100℃下进行了干燥,由此获得由化学式7表示的重均分子量为1,500克/莫耳的有机金属化合物。
[化学式7]
Figure BDA0003955216790000281
实施例1至实施例5
将根据合成实施例3至合成实施例7的由化学式2至6表示的每种化合物以3重量%的浓度溶解在丙二醇单甲醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)中,随后用0.1微米聚四氟乙烯(polytetrafluoroethylene,PTFE)注射器过滤器进行了过滤,由此制备根据实施例1至实施例5的光致抗蚀剂组成物。
将具有天然氧化物表面及4英吋直径的碟形硅晶圆用作薄膜涂布的基板,并在涂布组成物之前在紫外臭氧清洁系统中处理了10分钟。在经处理的基板上,分别将根据实施例1至实施例5的半导体光致抗蚀剂组成物以1500转/分钟(rpm)下旋涂了30秒,随后在100℃下烘烤(施用之后进行烘烤,施用后烘烤(post-apply bake,PAB))了120秒以形成光致抗蚀剂薄膜。
在涂布及烘烤之后,通过椭偏仪测量了膜的厚度,所述厚度为约25纳米。
比较实施例1
除了将根据比较合成实施例1的由化学式7表示的化合物以1重量%的浓度溶解在4-甲基-2-戊醇中之外,根据与上述相同的方法制备了根据比较实施例1的半导体光致抗蚀剂组成物及包括其的光致抗蚀剂薄膜。在涂布及烘烤所述组成物后获得的膜具有约20纳米的厚度。
评估1:解析度
通过改变能量及焦点,将在涂布方法中形成于碟形硅晶圆上的根据实施例1至实施例5及比较实施例1的膜暴露于极紫外线(EUV)以形成12纳米至100纳米的线/空间图案。在曝光后,将膜在180℃下烘烤了120秒,随后,在含有2-庚酮的培养皿中浸渍了60秒并取出,且用相同的溶剂洗涤了10秒。最后,将膜在150℃下烘烤了5分钟,随后通过扫描电子显微镜(scanning electron microscopy,SEM)获得了其图案影像。自SEM影像识别出的最高解析度示于表1中。
评估2:灵敏度
通过使用EUV光(劳伦斯伯克利国家实验室微曝光工具(Lawrence BerkeleyNational Laboratory Micro Exposure Tool),MET),将直径为500微米的50个圆形垫的线性阵列投射至涂布有根据实施例1至实施例5及比较实施例1的每种光致抗蚀剂组成物的晶圆上。在本文中,调整垫暴露时间以对每个垫施加增加的EUV剂量。
随后,将抗蚀剂及基板在160℃的热板上暴露120秒,用于曝光后烘烤(post-exposure baking,PEB)。将烘烤后的膜分别浸入显影溶液(2-庚酮)中30秒,并另外用相同的显影剂洗涤了10秒钟,由此形成负型影像,即移除未曝光的涂层区。最后,将膜分别在150℃的热板上烘烤了2分钟,由此完成制程。
然后,使用椭偏仪测量被暴露出的垫的残余抗蚀剂厚度。测量每个曝光剂量的残余抗蚀剂厚度,并将其绘制为曝光剂量的函数,由此在表1中示出每种类型的抗蚀剂的Dg(显影完成时的能量水准)。
评估3:溶解性及储存稳定性
根据以下参照文献,评估了根据实施例1至实施例5及比较实施例1的半导体光致抗蚀剂组成物的溶解性及储存稳定性,且结果示于表1中。
[溶解性]
基于当以以下重量溶解在丙二醇单甲醚乙酸酯中时,将根据合成实施例3至合成实施例7的由化学式2至6表示的化合物及根据比较合成实施例1的由化学式7表示的化合物的溶解度评估为3个水准。
○:3重量%或大于3重量%溶解在PGMEA中
△:小于3重量%溶解在PGMEA中
X:小于1重量%溶解在PGMEA中
[储存稳定性]
在使化合物在25℃(室温)下放置预定时间后,用肉眼检查化合物的沉淀程度,以设定储存参照,并根据以下3个水准对其进行评估。
○:可储存1个月或长于1个月
△:可储存1周至少于1个月
X:可储存少于1周
(表1)
Figure BDA0003955216790000291
(*HP:半节距参照)
参照表1的结果,相较于比较实施例1的光致抗蚀剂组成物,根据实施例1至实施例5的半导体光致抗蚀剂组成物表现出优异的溶解性及储存稳定性,此外,相较于由根据比较实施例1的组成物形成的图案,通过使用所述半导体光致抗蚀剂组成物形成的图案表现出优异的灵敏度。相反,由于根据比较实施例1的半导体光致抗蚀剂组成物对二甲苯溶剂表现出溶解性不足,因此实际上难以评估所述组成物的储存稳定性及使用所述组成物的图案形成。
以上,对本发明的实施方式进行了说明,但本发明并不限定于上述实施方式,在不脱离本发明的主旨的范围内可以进行各种变更、变形,这对于本领域的技术人员来说是显而易见的。因此,不能将修改或变换的实施例与本发明的技术思想和方面分开理解,并且修改的实施例在本发明的权利要求的范围内。

Claims (14)

1.一种半导体光致抗蚀剂组成物,包含
由化学式1表示的有机金属化合物及溶剂:
[化学式1]
Figure FDA0003955216780000011
其中,在所述化学式1中,
R为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C20脂族不饱和有机基、经取代或未经取代的C6至C30芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C20烷基)或其组合,
X、Y及Z各自独立地为-ORa、-SRb、-OC(=O)Rc或-SC(=O)Rd
Ra及Rb各自独立地为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合。
2.根据权利要求1所述的半导体光致抗蚀剂组成物,其中R为经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C8脂族不饱和有机基、经取代或未经取代的C6至C20芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C8烷基)或其组合。
3.根据权利要求1所述的半导体光致抗蚀剂组成物,其中R为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基、甲酰基、乙酰基、丙酰基、丁酰基或其组合。
4.根据权利要求1所述的半导体光致抗蚀剂组成物,其中
Ra及Rb各自独立地为经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C8烷基、经取代或未经取代的C3至C8环烷基、经取代或未经取代的C2至C8烯基、经取代或未经取代的C2至C8炔基、经取代或未经取代的C6至C20芳基或其组合。
5.根据权利要求1所述的半导体光致抗蚀剂组成物,其中
Ra及Rb各自独立地为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合,且
Rc及Rd各自独立地为氢、甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合。
6.根据权利要求1所述的半导体光致抗蚀剂组成物,其中所述有机金属化合物包括由化学式a至化学式t表示的化合物中的一者或其组合:
[化学式a]
Figure FDA0003955216780000021
[化学式b]
Figure FDA0003955216780000022
[化学式c]
Figure FDA0003955216780000031
[化学式d]
Figure FDA0003955216780000032
[化学式e]
Figure FDA0003955216780000033
[化学式f]
Figure FDA0003955216780000041
[化学式g]
Figure FDA0003955216780000042
[化学式h]
Figure FDA0003955216780000043
[化学式i]
Figure FDA0003955216780000051
[化学式j]
Figure FDA0003955216780000052
[化学式k]
Figure FDA0003955216780000053
[化学式l]
Figure FDA0003955216780000061
[化学式m]
Figure FDA0003955216780000062
[化学式n]
Figure FDA0003955216780000063
[化学式o]
Figure FDA0003955216780000071
[化学式p]
Figure FDA0003955216780000072
[化学式q]
Figure FDA0003955216780000073
[化学式r]
Figure FDA0003955216780000081
[化学式s]
Figure FDA0003955216780000082
[化学式t]
Figure FDA0003955216780000083
其中,在所述化学式a至所述化学式t中,
R为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、包含至少一个双键或三键的经取代或未经取代的C2至C20脂族不饱和有机基、经取代或未经取代的C6至C30芳基、乙氧基、丙氧基、-C(=O)R1(其中,R1为氢或经取代或未经取代的C1至C20烷基)或其组合,
Ra及Rb各自独立地为经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合,且
Rc及Rd各自独立地为氢、经取代或未经取代的C1至C20烷基、经取代或未经取代的C3至C20环烷基、经取代或未经取代的C2至C20烯基、经取代或未经取代的C2至C20炔基、经取代或未经取代的C6至C30芳基或其组合。
7.根据权利要求6所述的半导体光致抗蚀剂组成物,其中R为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基、甲酰基、乙酰基、丙酰基、丁酰基或其组合。
8.根据权利要求6所述的半导体光致抗蚀剂组成物,其中
Ra及Rb各自独立地为甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合,且
Rc及Rd各自独立地为氢、甲基、乙基、丙基、丁基、异丙基、第三丁基、2,2-二甲基丙基、环丙基、环丁基、环戊基、环己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其组合。
9.根据权利要求1所述的半导体光致抗蚀剂组成物,以100重量%的所述半导体光致抗蚀剂组成物计,包含1重量%至30重量%的由所述化学式1表示的所述有机金属化合物。
10.根据权利要求1所述的半导体光致抗蚀剂组成物,进一步包含界面活性剂、交联剂、调平剂或其组合的添加剂。
11.一种形成图案的方法,包括
在基板上形成蚀刻目标层,
在所述蚀刻目标层上涂布根据权利要求1至10中的任一项所述的半导体光致抗蚀剂组成物以形成光致抗蚀剂层,
对所述光致抗蚀剂层进行图案化以形成光致抗蚀剂图案,以及
使用所述光致抗蚀剂图案作为蚀刻遮罩来蚀刻所述蚀刻目标层。
12.根据权利要求11所述的形成图案的方法,其中所述光致抗蚀剂图案是使用波长为5纳米至150纳米的光形成的。
13.根据权利要求11所述的形成图案的方法,进一步包括设置形成于所述基板与所述光致抗蚀剂层之间的抗蚀剂底层。
14.根据权利要求11所述的形成图案的方法,其中所述光致抗蚀剂图案具有5纳米至100纳米的宽度。
CN202180036970.5A 2020-09-14 2021-08-20 半导体光致抗蚀剂组成物及使用其形成图案的方法 Pending CN115668056A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2020-0117881 2020-09-14
KR1020200117881A KR102586112B1 (ko) 2020-09-14 2020-09-14 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
PCT/KR2021/011121 WO2022055149A1 (ko) 2020-09-14 2021-08-20 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Publications (1)

Publication Number Publication Date
CN115668056A true CN115668056A (zh) 2023-01-31

Family

ID=80632248

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180036970.5A Pending CN115668056A (zh) 2020-09-14 2021-08-20 半导体光致抗蚀剂组成物及使用其形成图案的方法

Country Status (6)

Country Link
US (1) US20230223262A1 (zh)
JP (1) JP7336607B2 (zh)
KR (1) KR102586112B1 (zh)
CN (1) CN115668056A (zh)
TW (1) TWI795899B (zh)
WO (1) WO2022055149A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005023232A (ja) * 2003-07-03 2005-01-27 Nippon Paint Co Ltd カチオン電着塗料組成物
KR20230156842A (ko) * 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
WO2016140057A1 (ja) * 2015-03-05 2016-09-09 Jsr株式会社 感放射線性組成物及びパターン形成方法
WO2016208257A1 (ja) * 2015-06-22 2016-12-29 丸善石油化学株式会社 電子材料用重合体の製造方法およびその製造方法により得られた電子材料用重合体
JP6805244B2 (ja) * 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2018017780A (ja) * 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
KR102634520B1 (ko) * 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
CA3080934C (en) * 2018-04-11 2024-01-02 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
CN110780536B (zh) * 2018-07-31 2023-05-16 三星Sdi株式会社 半导体抗蚀剂组合物及使用组合物形成图案的方法及系统
KR102229623B1 (ko) * 2018-08-10 2021-03-17 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
JP7336607B2 (ja) 2023-08-31
TWI795899B (zh) 2023-03-11
KR20220035749A (ko) 2022-03-22
WO2022055149A1 (ko) 2022-03-17
US20230223262A1 (en) 2023-07-13
KR102586112B1 (ko) 2023-10-05
JP2023529344A (ja) 2023-07-10
TW202210494A (zh) 2022-03-16

Similar Documents

Publication Publication Date Title
JP7168715B2 (ja) 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
JP6865794B2 (ja) 半導体レジスト用組成物およびこれを用いたパターン形成方法
KR102598259B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI762306B (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
JP7390348B2 (ja) 半導体フォトレジスト用組成物およびその製造方法、ならびにこれを用いたパターン形成方法
CN111856879A (zh) 半导体光致抗蚀剂组合物及使用组合物形成图案的方法
KR102577300B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2024010648A (ja) 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
KR102555497B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102573328B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI795899B (zh) 半導體光阻組成物以及使用所述組成物形成圖案的方法
KR102678333B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102538092B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102671848B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20220155111A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN117991584A (zh) 半导体光刻胶组合物和使用组合物形成图案的方法
KR20230160087A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2023175620A (ja) 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
TW202419437A (zh) 半導體光阻組合物和使用組合物形成圖案的方法
KR20240040479A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240025957A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN117850162A (zh) 半导体光刻胶组合物和使用组合物形成图案的方法
KR20230023410A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination