CN115004330A - 射频匹配网络和发生器 - Google Patents

射频匹配网络和发生器 Download PDF

Info

Publication number
CN115004330A
CN115004330A CN202180010142.4A CN202180010142A CN115004330A CN 115004330 A CN115004330 A CN 115004330A CN 202180010142 A CN202180010142 A CN 202180010142A CN 115004330 A CN115004330 A CN 115004330A
Authority
CN
China
Prior art keywords
matching network
sensor
phase
plasma
statistic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180010142.4A
Other languages
English (en)
Inventor
亚历山大·德·尚布里耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Comet Technologies USA Inc
Original Assignee
Comet Technologies USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Comet Technologies USA Inc filed Critical Comet Technologies USA Inc
Publication of CN115004330A publication Critical patent/CN115004330A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Radar Systems Or Details Thereof (AREA)
  • Emergency Alarm Devices (AREA)
  • Burglar Alarm Systems (AREA)

Abstract

一种在RF等离子体处理系统中提供RF脉冲数据的方法,所述方法包括在RF等离子体处理系统的匹配网络内测量电参数;确定电参数测量值的属性;限定电参数测量值的属性的第一统计量;基于第一统计量为阶段和过程中的至少一个限定第二统计量;将第一统计量和第二统计量传递给用户;以及在匹配网络内存储第一统计量和第二统计量。

Description

射频匹配网络和发生器
相关申请的交叉引用
本发明要求于2020年1月20日提交的美国临时申请号为62/963,444的申请以及于2021年1月19日提交的美国非临时专利申请号为17/152,634的申请的优先权,其内容通过引用合并在此。
背景技术
射频(Radio frequency,RF)等离子体增强处理广泛用于半导体制造中,以蚀刻不同类型的薄膜,在中低加工温度下沉积薄膜,并进行表面处理和清洁。这种过程的特点是使用等离子体,即部分电离气体,用于从反应腔室内的前体生成中性核素和离子,为离子轰击提供能量,和/或执行其他动作。在这种工艺过程中控制等离子体密度存在挑战,反应腔室内等离子体的非均匀性影响晶片加工均匀性和正在制造的集成电路或其他器件的产量。
反应腔室内不均匀的等离子体密度会导致基板上的蚀刻速率或某些特性不均匀。在某些系统中,使用探针监测反应腔室内的等离子体密度均匀性。这种探针可以依赖于涂层暴露在等离子体环境中,并可以使用有源电子器件来推断等离子体密度。这种系统可以需要毫秒或更长时间来响应等离子体的变化。发射光谱法也可用于确定反应腔室内的等离子体密度分布,但此类系统可以需要穿过等离子体的多条视线,并使用复杂的分析来推断非均匀性。这两种技术都不够灵敏和快速,无法有效解决非均匀性问题,而且实施成本会更高。
附图说明
当与附图一起阅读时,从以下详细描述中可以最好地理解本公开。需要强调的是,根据行业标准惯例,各种特征并未按比例绘制。事实上,为了便于讨论,可以任意增加或减少各种特征的尺寸。
图1是根据本公开实施例的RF等离子体处理系统的侧视示意图。
图2是根据本公开实施例的在电极的不同位置安装高带宽传感器的等离子体腔室的侧视示意图。
图3是根据本公开实施例的具有通过电连接器提供电压信号的传感器的双板电极组件的截面图,所述电连接器具有对电气接地的低分流电容。
图4是根据本公开实施例的带有嵌入式高带宽电压传感器的底座的横截面图。
图5是根据本公开实施例的底座的侧视示意图。
图6是根据本公开实施例的轴对称表面波在底座上传播的俯视图,其中,反应腔室中的等离子体是轴对称的。
图7是根据本公开实施例的横向电磁表面波在电极上传播的俯视图。
图8是根据本公开实施例在反应腔室上按方位(围绕反应腔室对称轴)安装的传感器的横截面图。
图9是根据本公开实施例的反应腔室电极、电极基座、顶部电介质板、视口和电介质壁上方位安装传感器的侧截面图。
图10是根据本公开实施例的具有一些传感器阵列位置的电容耦合等离子体反应器腔室的侧截面图。
图11是根据本公开实施例的模型感应等离子体反应器腔室的侧截面图。
图12是根据本公开实施例的具有一些可能的传感器位置的RF等离子体处理系统的部分横截面示意侧视图。
图13是根据本公开实施例的包括RF等离子体处理系统的电介质壁的部分截面示意图,在该系统中传感器安装在靠近电感耦合天线的电介质表面上。
图14、15和16是根据本公开实施例的匹配网络的RF等离子体处理系统的过程相位图。
图17是根据本公开实施例的匹配网络的RF处理系统的阶段的示意图。
图18是根据本公开实施例的RF等离子体处理系统中RF脉冲开始处的电压的图示。
图19是根据本公开实施例的RF等离子体处理系统的匹配网络的示意图。
图20是根据本公开实施例的用于RF等离子体处理系统的计算系统的示意图。
具体实施方式
现在将公开下面所声称的主题的示例。为了清楚起见,本规范中并未描述实际实现的所有特征。应当理解的是,在任何此类实际实现的开发中,可以做出许多特定于实现的决策,以实现开发人员的特定目标,例如遵守与系统相关和业务相关的约束,这些约束因实施而异。此外,应当理解的是,对于受益于本公开的所属领域的技术人员来说,即使这种开发工作复杂且耗时,也将是一项常规任务。
此外,如本文所用,冠词“一(a)”在专利技术中具有其普通含义,即“一个或多个”。此处,术语“大约”在应用于数值时,通常是指在用于产生该数值的设备的公差范围内,或在一些示例中,是指正负10%,或正负5%,或正负1%,除非另有明确规定。此外,本文中使用的术语“实质上”是指多数、几乎全部、全部或范围为约51%至约100%的量。此外,本文中的示例仅用于说明,仅供讨论而非限制。
转至图1,根据本公开实施例示出了RF等离子体处理系统100的侧视示意图。RF等离子体处理系统100包括第一RF发生器105和第二RF发生器110、第一阻抗匹配网络115、第二阻抗匹配网络120、鞘层125、等离子体供电设备(诸如喷淋头(showerhead)130或例如电极的等效供电元件),和底座(pedestal)135。如本文所使用的,等离子体供电设备可以是指引入能量以产生等离子体的任何设备,并且可以包括例如喷淋头130和/或其他类型的电极以及天线等。
RF等离子体处理系统100可包括一个或多个RF发生器105、110,其通过一个或多个阻抗匹配网络115、120向反应腔室140输送功率。射频功率从第一RF发生器105通过阻抗匹配网络115流入反应腔室140中的等离子体,流至喷头130或侧壁,流至除喷头130以外的电极,或流至感应天线(未显示),该感应天线以电磁方式向等离子体提供功率,之后能量从等离子体流向接地和/或底座135和/或第二阻抗匹配网络120。通常,第一阻抗匹配网络115补偿反应腔室140内负载阻抗的变化,从而通过调整第一阻抗匹配网络115内的电抗(reactive)部件(例如可变电容器),喷淋头130和第一阻抗匹配网络115的组合阻抗等于第一RF发生器105的输出阻抗,例如50欧姆。事实上,术语“大约(about)”是指承认相对于范围会出现一些不精确的情况,但仍会获得满意的结果。例如,这种不精确可以是由于操作过程中失去校准或漂移造成的。然而,在这些情况下,表示的范围是使用时操作条件的标称目标值。
在某些示例中,第一RF发生器105可以以大约400KHz到150MHz之间的RF频率提供功率,而连接到底座135的第二RF发生器110可以以低于第一RF发生器105的RF频率供电,然而,在某些实施中,第二RF发生器110可以不以低于第一RF发生器105的RF频率提供功率。通常,第一和第二RF发生器105、110的频率使得第一RF发生器105处于不是第二RF发生器110的频率的整数倍的RF频率,也不是第二RF发生器110的频率的整数部分(integerfraction)的RF频率。
阻抗匹配网络115、120设计为用于调整其内部电抗元件,以使负载阻抗与源阻抗匹配。通常,认为低反射功率是积极的,然而,本公开的实施例确保在反应腔室140中保持传输的功率,并确保反射回第一和第二RF发生器105、110的功率,并且确保即使反射功率相对较高,相关阻抗匹配网络115,120可监测进出反应腔室140的正向功率和反射功率,并使用电机驱动系统或电气可调或开关电容器,对可调电抗元件(例如真空可变电容器)进行调整。阻抗匹配网络115、120可以包含用于测量信号的相位和幅度的电路,以确定来自预期负载的正向功率和反射功率水平。因此,即使反射功率的总量很高,本公开的实施例也可以是有效的。如果在一次频率处存在大量反射功率,则改变电容器,直到反射功率最小化,例如在所述周期内小于约5瓦特和/或小于约1%,或在某些实施例中小于1瓦特。通常,不测量谐波频率信号,包括谐波频率下的反射功率。
尽管RF等离子体处理系统100有许多优点,但在整个多步骤过程中保持对等离子体密度的控制一直是个挑战。例如,相对于标称值具有相同量级密度范围的约为1%非均匀性的设计公差仍然是个挑战。当特征尺寸缩小到约10nm以下且层厚度小于50nm时,在每个晶片上实现最佳集成电路(integrated circuit,IC)产量需要将等离子体和中性核素的均匀性逐步严格控制到1%甚至更低。反应腔室内不均匀的等离子体密度或偏离期望值超过期望范围的平均密度可以是由反应腔室的缓慢变化、RF电路的变化或者寄生或次级等离子体的快速生长(大约不到一毫秒)导致的,该寄生或次级等离子体的快速生长由于蚀刻速率不均匀可以导致加工过的晶片上的纳米级特征的不均匀性。
因为即使整个晶片的蚀刻率相差1%,也会导致先进技术的成品率问题,并且由于完成晶片加工通常需要大量时间才能看到成品率损失,因此需要在可以需要小于约1毫秒的时间段内,快速准确地检测反应腔室内非均匀等离子体密度或偏离所需范围的等离子体密度,以避免晶片上偏离所需特征轮廓的不可逆的偏差。
所属领域的技术人员应当理解,电磁(electromagnetic,EM)表面波可以在反应腔室140中的RF供电等离子体内的表面上传播。这些表面波在基本RF驱动频率和RF谐波下都具有可观的能量。谐波的平均功率和功率分布是等离子体密度和非均匀性的敏感函数。在此,谐波轮廓定义为表面波的频谱,该表面波具有的频率是基于RF等离子体的反应腔室140的基本驱动频率的整数倍。例如,如果向反应腔室140提供2MHz RF驱动功率,则注入功率将以所述频率产生表面波,所述表面波沿着等离子体和内部反应腔室140表面之间的界面传播。也可以产生整数倍频率的谐波表面波。例如,2MHz电磁波可产生4、6或8MHz表面波。奇次谐波和偶次谐波(第2、第3、第4、第5等)都可以出现,但在某些示例中,奇次谐波可以占主导地位。
本公开的各个方面可以在反应腔室140及其部件上和周围提供传感器位置,由此可允许检测和分析RF表面波,以找到反应腔室140内或相邻反应腔室140的多个点处基波和谐波的幅度和相位。所述RF表面波可以通过感应腔室部件表面上基波和谐波频率下的RF电压或RF电流来检测。在一些实施例中,电压传感器(sensor)可包括配置在或接近电极表面、底座基座(pedestal base)、腔室壁或条带(strap)的拾取器(pickup),以及将信号从拾取器(pickup)传输到连接器或电缆的导线。电流传感器可包括导电元件,所述导电元件可包括一个或多个回路或部分回路或线性导体,其中,导电元件的一端可以处于是局部电气接地的参考电位。
多个传感器,例如两个或多个传感器,可以围绕腔室对称轴以不同角度放置在特定腔室部件上,用于测量与此类表面波相关的表面电压或电流,下文将详细讨论。在此,将从腔室基准点围绕对称轴测量的角度定义为方位角。在一些实施例中,此类传感器可放置在距离腔室对称轴大致相同的距离处。
传感器可以安装在反应腔室和/或其部件上或周围的不同位置。例如,传感器可以安装在电极的表面上,例如底座135和/或喷淋头130。传感器也可以安装在真空环境内或真空环境外的电极基座上。传感器在反应腔室内140可以安装在反应腔室的一个或多个金属壁表面上,并且在包含电介质材料的壁区域内或外,或者安装在以电感方式向等离子体提供功率的天线上。传感器也可放置在无源天线上,所述无源天线可用于感测接近等离子体边界的EM波,或安装在将第一或第二阻抗匹配网络115、120连接到电极(例如底座135和/或喷淋头130、天线)的多条导电总线或条带上或接近其安装,或安装在其他将功率传输到反应腔室140内等离子体的部件上。传感器也可以连接到电气接地。因此,当RF等离子体处理系统100的不同部分在各自的部件表面上传播时,传感器可以拾取来自这些部分的信号。
RF谐波的频谱在电极-等离子体界面处生成,例如图1中的鞘层125,并且波在所有方向上传播,因此所有波分量的振幅和相位都会随电极或支撑基座上的位置而变化。这种波也沿着与等离子体相邻的金属壁的内表面传播,并通过任何可以与等离子体相邻的电介质壁传播。此类波幅和相位随等离子体的变化而变化,例如等离子体密度和非均匀性,响应时间约为或小于几微秒。此外,在电极-等离子体界面上传播的RF谐波表面波的频率和相位分布确定了在电极基座表面上传播到阻抗匹配网络115,120的谐波表面波的频率和相位分布,以及确定了在与电极或等离子体-壁界面连接的表面上或壁上传播的谐波表面波的频率和相位分布。不同传感器位置的基波和谐波信号的振幅和相位可以确定每个频率的总EM波场的哪些部分是方位对称的,哪些部分是非对称的。
在感应等离子体的情况下,来自等离子体的信号,例如基波和谐波,可以传播回天线,然后传播到向天线馈电的阻抗匹配网络。可以使用安装在这些表面上的传感器在微秒或更快的时间尺度上监测基波和谐波RF波的频率和相位分布,并可以作为等离子体非对称性或等离子体密度或电导率变化的指示器,与指定范围和相位关系进行比较。来自此类传感器的信号可以通过电缆或其他方式传输到检测器,检测器分析信号的分量频率,以产生每个传感器位置处每个频率分量的振幅和相位值。
在某些实施中,检测到的RF谐波分量的振幅和相位可以由信号分析隔室中的电路(检测器)快速确定,所述信号分析隔室可以是单独的金属盒或机箱,或者可以位于阻抗匹配网络115、120内或连接到阻抗匹配网络115、120或阻抗匹配网络115、120的一部分。此类振幅和相位可用于通过应用算法和等离子体非均匀性校准来确定状态,所述状态包括等离子体的径向分布和非对称性。来自传感器的信号可以由速度足以执行几乎连续的频谱分析的专用电路(检测器)进行傅立叶分析,尽可能频繁地刷新并产生高速数据流。例如,对于13.56MHz的等离子体功率,通过傅立叶分析处理512个周期可以花费不到50微秒,对于脉冲等离子体,当脉冲的每个元素在5KHz时,允许以10KHz的速率更新等离子体状态。
基波和谐波的专用傅立叶分析结果可存储在单独的存储介质上,所述存储介质可由与信号分析隔室相关的分析处理器读取和/或写入。存储的结果或实时信号可以路由到高速计算处理器,以确定每个基波和谐波的不对称参数。可以使用算法(所述算法也可以存储在单独的存储介质或不同的存储介质上)将不对称参数与先前存储在单独的存储介质(或不同的存储介质)上的值进行比较,以非常快速地识别“等离子体故障”状况。然后,分析处理器可以向第一和第二RF发生器105、110发送适当的命令,例如,在当前条件下继续处理,或对处理条件进行必要的更改,在某些实施中,发送给两个以上的RF发生器,并在适当时发送给与这些发生器相关联的阻抗匹配网络。在某些实施中,可以使用三个、四个或更多RF发生器。然后,第一和第二RF发生器105、110可以继续、停止、改变所提供的功率,或以某种其他合适的方式响应——例如,进入降低功率模式或脉冲模式或频率变化,或命令采取某些纠正措施,例如警报触发、电源中断等,以避免在等离子故障或其他不可接受的情况下进行不正确的晶片处理。
在一些实施例中,用于检测(表面波的电场和磁场)和表征表面波的传感器的位置可以位于晶片覆盖区域外的底座135的(裸露的或由电介质覆盖的)外围表面。例如,如果反应腔室140要处理半径为150mm的圆形晶片,则安装在底座上的传感器可以位于距离晶片中心150mm以上的半径处,在某些情况下,晶片中心可以位于环形外围电介质下,以控制边缘效应。传感器可以附加地或替代地位于朝向晶片的喷淋头130的表面或外围上,或者位于底座135的基座或喷淋头130的基座的表面上,无论这些位置在真空处理环境之内或之外。传感器也可位于其他各种位置,下文将详细讨论,并可连续或定期监测,以提供处理等离子体的均匀性。
在真空处理环境外使用传感器,例如,在将基座连接到一个或多个阻抗匹配网络115、120、底座135和/或喷淋头130的基座的条带或总线中,可以不需要通过真空馈线传递信号,也不需要在反应腔室140的真空体积内安装传输电缆。这些位置的传感器可以基本连续地监测基波和谐波EM波。这可以使RF等离子体处理系统100连续提供等离子体密度均匀性,并在很短的时间内确定是否发生了故障状况,或者是否持续正确处理晶片或基板。
在某些示例实施中,本公开可提供用于检测RF等离子体处理系统100内等离子体偏离所需“处理窗口”的装置和方法。RF等离子体处理系统100可包括反应腔室140,反应腔室140可包括用于将反应气体注入反应腔室140的喷淋头130,反应腔室还可包括晶片支撑底座135。然而,在其他实施方式中,喷淋头130可以不将气体注入反应腔室140。在一些实施例中,喷淋头130安装为其中心靠近反应腔室140的近似对称轴,并配备多个传感器,这些传感器位于围绕对称轴的选定方位。另外或替代地,此类传感器可放置在喷淋头130外围区域中面向晶片的表面上,以检测和测量正在处理晶片时传播的EM表面波。
此外,在一些实施例中,可以有多个传感器安装在晶片支撑底座135的外表面上,位于晶片占据的区域之外,用于检测RF谐波和基波表面波的振幅和相位。此类传感器可暴露于等离子体中,或由电介质或电介质和金属覆盖。另外或替代地,传感器可以位于底座135基座的外围、真空体积的内部或外部和/或由晶片限定的平面的下方。在一些实施中,传感器可放置在底座基座上,以检测向底座的晶片支撑区域传播或远离底座的晶片支撑区域以及在底座基座表面上传播的表面电磁波。在某些实施例中,传感器可安装在靠近晶片平面的位置(例如,小于10厘米)。
或者,传感器可以安装在底座135的一部分上,所述一部分是金属或其他导电材料,并且在大气条件下位于反应腔室140的真空区域之外。位于真空区域外的传感器可以安装在底座135的区域上,以距离底座对称轴的半径至少为最大底座135半径的50%,甚至超过最大底座135半径的75%。在一些实施例中,此类传感器可以放置在靠近支撑底座135真空密封件(例如O形圈)的几厘米范围内的位置。在一些实施例中,从晶片边缘到传感器的径向和轴向传播距离的总和可以小于约25cm,或者在一些实施例中小于约15cm,甚至约10cm。下面将详细讨论根据本公开实施例的传感器的具体位置和方向。
转至图2,根据本公开实施例示出了在电极上不同位置安装有高阻抗传感器的等离子体腔室的示意侧视图。用作电极的两个部件中的每一个,即底座235和喷淋头230,或等效的其他供电元件,可以使用单独的RF发生器205或210以及阻抗匹配网络215和220。或者,电极可以具有多个发生器和向其馈电的匹配网络。沿底座235表面的箭头245表示来自底部(偏置)RF发生器210的RF电流和功率流的向内径向,所述RF发生器通过阻抗匹配网络220电连接到底座235。所产生的电场有助于在电极和箭头250所示的电流和功率径向向外逆流之间形成等离子体(未显示),沿着喷淋头235或其他供电元件的下表面,最终到达喷淋头230或其他供电元件的阻抗匹配网络215中的选择性接地电路。
在某些实施例中,具有来自第一和第二RF发生器205、210和阻抗匹配网络215、220的射频功率的反应腔室240可包括位于底座235外围的传感器255,所述传感器255可由电介质260覆盖。通信线路265可以将来自每个传感器255的信号传输到傅立叶分析电路(未示出),在一些实施例中,所述传感器255距离底座对称轴大致等距,傅立叶分析电路计算每个传感器255拾取的基频和谐波表面波的振幅和相位。
在一些实施中,傅立叶分析电路可以计算周期性电磁表面波形的基波和高次谐波的幅度(magnitude)和相位。由此产生的幅度序列称为傅立叶级数,其相位由时间域中的函数和频率域中的函数之间的关系得出。
此外,本公开匹配网络220的一些实施例可以包含信号分析隔室275或匹配网络220的附件,其与匹配网络220的射频功率处理和阻抗匹配电路或部件分离且RF隔离。信号分析隔室275可包含傅立叶分析电路(检测器),用于分析传感器信号并产生RF基波和谐波的数字振幅和相位。信号分析隔室275还可以包含高速数字逻辑或计算处理器,用于分析谐波频率下信号的相对幅度和相位,并导出表征每个频率下轴对称和非轴对称谐波分量的相对幅度及其相对相位的定量参数。此外,在一些实施例中,公开的匹配网络220可以通过非常快速的网络连接到第二RF发生器210以及用于反应腔室240或RF等离子体处理系统200的控制器(未示出),传感器255位于所述系统中。在一些实施例中,所公开的增强阻抗匹配网络220可以向第一RF发生器205发送命令,以及将其计算参数传递给处理腔室控制器和/或工具控制系统。
此外,另一个第一RF发生器205和阻抗匹配网络215也可以电耦接到另一个电极,所述电极可以是反应腔室240中的喷淋头230。在一个实施中,第一RF发生器205可以在与第二RF发生器210不同的频率下工作,并且其频率可以不是第二RF发生器210的频率的整数倍。
类似地,阻抗匹配网络215监测来自电极和处理腔室240的反射功率,如果存在来自电极的显著反射功率,则可以进行调整。在一些实施例中,第二RF发生器210可以是400KHz RF发生器、2MHz RF发生器或13.56MHz RF发生器或其他,而第一RF发生器205可以在稍高的频率下工作。在一些实施例中,第一RF发生器205可以在大于25MHz的频率下工作,例如60MHz、100MHz或更高。
在一个实施例中,第一RF发生器205的主要功能是为反应腔室240供电,以在喷淋头230或诸如电极和底座235的另一电源之间产生等离子体,两者均产生反应性化学物质,例如氟或氯,并使产生的等离子体中的离子加速并撞击布置在底座235上的晶片。
布置在上电极表面,即喷淋头230,面向下电极,即底座235,可以是一组传感器280,其带宽大于连接到所述电极的最高频率RF发生器频率的10倍左右。在一些实施例中,其中,每一个传感器可以具有大于约100欧姆的阻抗,并且在一些实施例中大于500欧姆。传感器280可以是电压传感器或电流传感器,也可以在单个封装中兼有这两种功能,例如,电流传感器可以包括一段或多段可以被静电屏蔽覆盖的导线。
在一些实施例中,传感器280与阻抗匹配网络215的信号分析隔室285中的傅立叶分析电路有电气连接。傅立叶分析电路可以从每个传感器280输出不同频率分量的振幅和相位,并将其与其他传感器280和/或与存储在存储器中的参考值电平进行比较。在一些实施例中,对信号的分析可包括振幅或相位或振幅和相位的模式识别,或采用学习算法的人工智能(artificial intelligence,AI),学习算法可使用神经网络或来自传感器280的信号的传统数字算法处理。
傅立叶分析电路的信号处理,以找到基波和谐波分量信号,包括振幅和相位,可以在小于约10微秒的时间内完成,在优选实施例中,每个传感器信号的处理时间为1微秒或更少。阻抗匹配网络215的隔离信号分析隔室285可以包括至少一个计算或逻辑处理器,所述计算或逻辑处理器具有相当大的计算能力,具有采用超高速逻辑集成电路(IntegratedCircuit,IC)的超高速(<1ns周期时间)电路。在一些实施例中,信号分析隔室285中的处理器是可编程的,以便处理腔室240的供应商或用户可以在阻抗匹配网络215中提供的计算“平台”上提供或实现专有算法或分析软件。
在一些实施例中,用于根据信号振幅和相位计算参数的软件程序,以及进一步用于根据可接受等离子体条件确定对偏移的处理均匀性的影响的逻辑算法,可以位于包含数据存储器并连接到信号处理腔室的可移动“插件”部件上。所述软件或逻辑计算RF电磁表面波频谱偏离标称或正确工作条件特征的程度。基于此,与控制器相关联的处理器可以在晶片被误加工之前的大约一毫秒内“决定”采取纠正措施或终止处理。在一些实施例中,可以在发生后约500微秒内对偏移对过程均匀性或其他特性的预期影响进行定量判断,以便纠正措施可以在毫秒内开始。此外,可以采取措施,以使此时在反应腔室240中处理的晶片或基板的损坏最小或没有损坏,从而避免所述晶片或基板上的产量损失。
在一些实施例中,在阻抗匹配网络215的信号分析隔室285中进行的评估和/或决策可以通过使用位于插件存储器和/或可拆卸数据处理设备上的算法的非常快速的计算或分析系统来执行。在其他实施例中,可以使用模拟或神经网络型处理器执行在信号分析隔室285中作出的评估决策。这种判定可以进一步使用可位于可拆卸存储或处理设备上的判定算法。然后,可以通过高速数据线将纠正措施的命令从阻抗匹配网络215的隔室275迅速传输到RF发生器205,RF发生器205可以临时中断、改变或终止对等离子体的供电。这确保了工厂管理可以立即采取或计划针对所述处理腔室240和RF等离子体处理系统200的纠正措施。
图2中还显示了一组传感器290,其配置在喷淋头230的基座295的外表面上,在大气条件下位于反应腔室240内的真空区域之外。在一些实施例中,与传感器290一样,附加传感器296可以安装在底座基座297上,并通过高速信号电缆连接到阻抗匹配网络220的公开信号处理隔室275。传感器296位于反应腔室240的真空环境之外,由于不需要真空进料,因此其成本大大降低,并且不难集成到信息和处理网络中。
传感器255可以在一些配置中布置,以感测底座235表面上的电压和/或电流,并且可以由电介质盖260覆盖并保护其免受等离子体的影响。这种类型和位置的传感器靠近晶片和/或基板,因此在检测指示等离子体非对称性的EM表面波的某些模式时可以具有灵敏度优势,这是等离子体非均匀性的一种重要类型。这些腔室内传感器255可以使用通过馈通穿过真空壁的通信线路,或者在一些实施例中使用以光学或较低频率工作的无线通信链路。
通常,通过分析来自任何一组电压、电流、相位或组合传感器255、280、290和296的信号,可以确定喷淋头230和底座235表面上每个频率的EM表面波的相位和振幅模式。一般来说,给定频率的EM表面波产生的电压和电流信号,与其他频率的信号具有相位关系。每个频率和每个点的电压幅度是来自电极表面所有点的所述频率的所有波的电压总和。对于对称馈电且等离子体为轴对称的轴对称电极表面,来自电极所有部分和反应腔室240中其他表面的波的叠加将产生轴对称表面波模式。一般来说,在具有以腔室对称轴为中心的对称电极的对称腔室中,完全对称的等离子体主要具有以底座235中心为中心的圆形形式的相等相位和振幅的对称线。
转到图3,根据本公开实施例示出了双板电极组件的横截面图,双板电极组件具有宽带宽传感器,通过具有低分流电容的电连接器向电极周围区域和电气接地提供电压信号。在一些实施例中,电极(例如喷淋头330)可包括两个大致平行配置的导电板331、332,中心对齐,形状通常与基板或晶片相同。第一板331背向第二板332的表面可暴露于真空环境和等离子体。第一板331与第二板332之间的距离是电介质隔离支架333的长度。第一板331可以具有嵌入式传感器334,所述嵌入式传感器的定位盘(pucks)或拾取器(pickups)由导电材料制成,传感器表面与第一板331的背向第二板332的表面大致共面。
在一些实施例中,传感器334可以安装在第一板331中,由诸如石英或一些其他合适材料的低介电常数的电介质336包围。在一些实施例中,电介质336的介电常数可以小于5,在一些实施例中,对于无机材料,例如基于石英的气凝胶,介电常数可以小于2。传感器334可以具有从100kHz扩展到连接到所述腔室的最高驱动频率的至少10倍的高带宽,高带宽可以高达300MHz或以上,并且可以能够感测表面电压、表面电流或表面电压和表面电流。在一些实施例中,传感器334的灵敏度可以在反应腔室中使用的主要基本RF频率的谐波频率范围内变化小于30%。在一些实施例中,来自每个传感器的至少一根导线337连接到真空电信号馈通339的内导体338,真空电信号馈通339的基座341安装在电气接地的第二板332。在一些实施例中,每个传感器的引线可以直接连接到位于与第二板332类似位置的电路板,所述电路板具有接地平面和检测器电路,每个传感器一个,以确定每个频率分量的振幅和相位。
馈通339的内导体338可以对安装在接地第二块板332中的馈通339的基座341具有小分流电容,例如,小于5皮法拉(pico-farad,pf,并且在一些实施例中小于2pf),使得从传感器334加引线337加馈通339到地的总分流电容应小于5pf,并且在一些实施例中小于3pf。在一些实施例中,安装在接地第二板332中的基座341的输出可以连接到衰减器(未显示)。在一些实施例中,衰减器可以包括电阻大于约100欧姆的电阻器。与电阻器404并联,可以有到接地405的分流电阻器。分流电阻器的电阻可以是,例如50欧姆,或者可以等于将衰减器连接到通信网络或等离子腔室控制器的电缆的阻抗。如果检测器位于图3中,取代如图所示的连接器,则来自检测器的信号输出(即所述传感器在每个频率下的电压或电流的振幅和相位)可以传输到位于匹配网络隔室中的分析处理器。
每个传感器334可以测量组合电磁表面波模式的电压或电流振幅,组合电磁表面波模式针对向等离子体供电的所有RF发生器具有作为分量的基波和谐波频率。谐波频率的基波和范围从约10kHz到约500MHz或更高。在其它实施例中,传感器可以在约100kHz到约1Ghz的范围内测量基波和谐波频率下的电压。
图4显示了根据本公开实施例的带有嵌入式宽带电压传感器的底座的横截面图。电压传感器401可以安装在电极中,例如底座400。在一些实施例中,传感器401可以通过电阻器连接到电气接地406。传感器401的尖端或定位盘可以具有由电介质403(可选为空气或真空)包围的导线402。在一些实施例中,来自传感器401的导线402可以穿过衰减器,例如具有分流电阻器405的电阻器404,在一些实施例中,分流电阻器405可以约为50欧姆,并且也可以连接到电气接地406。此类电阻器404可以是非电感性的,并且可以具有约100欧姆到约100,000欧姆之间的电阻。在一些实施例中,电阻可以在约500欧姆到约10,000欧姆之间。电阻器405也可以是非电感性的。
此外,电介质403通常应为非磁性,并且具有低损耗正切,在一些实施例中小于约0.01,或在其他实施例中小于约0.001。在一些实施例中,传感器401尖端和引线402到接地电极之间的分流电容应小于约5pf,或小于约2pf,以便传感器401和底座400电极之间的电抗在300MHz时应大于约100欧姆。这种低分流电容的目的是减少传感器401对表面波的负载,使其最小程度地吸收波能量,并允许波像在没有传感器401的情况下那样传播。在这种条件下,检测到的表面电位与没有这种传感器401的电极上的表面电位相差不大。
转到图5,根据本公开实施例示出了具有相关RF和控制部件的底座的侧视示意图。底座501供电电路包括射频功率发生器405和阻抗匹配网络506。高速信号线,例如电缆511、512,将来自传感器502、503的信号传输到腔室,在一些实施例中,腔室可以位于或连接到阻抗匹配网络506中。数据网络的高速线路513将信息从阻抗匹配网络506传输到反应腔室、发生器、工具或工厂(未显示)的控制器514。传感器502、503安装在底座501的基座504上或附近,基座504可以位于反应腔室真空区域的内部或外部。
在一些实施例中,可以存在与阻抗匹配网络506相关联的信号分析,例如故障检测,隔室510。信号分析隔室510可以与阻抗匹配网络506的某些部件(例如真空电容器和高压电子设备)电隔离和/或RF隔离。信号分析隔室510经由电缆511、512接收来自传感器502、503的信号。然后,信号分析隔室510将来自每个传感器502、503的信号传输到内部电路,所述内部电路可以被称为检测器,并且可以包括电子部件,例如晶体管和无源部件。在替代实施例中,直接在传感器附近找到每个频率分量的振幅和相位,到达信号分析隔室的信号可以是每个频率分量的振幅和相位,而不是原始信号。
隔室510中的每个检测器(未显示)可以对来自一个传感器502、503或来自一组可以并行分析的传感器的信号进行RF频谱分析。分析可包括随时间平均一组传感器或一个或多个传感器502、503的信号,以降低噪声。在一些实施例中,对于每个传感器502、503获得的信号的每个频率分量,例如基波和谐波,可以有来自每个检测器的振幅和相位输出。然后,可以将每个检测器的输出输入到每个谐波信号的模数转换器,针对测量的每个谐波的振幅和相位产生数字化值
每个频率分量和每个传感器的这些数字振幅和相位值可以输入到与公开的阻抗匹配网络相关的信号分析隔室中的高速数字处理器,几乎没有延迟,例如<10微秒。数字处理器可以分析来自传感器的基波和每个谐波的振幅和相位信息,以确定基波和谐波的不同表面波模式的相对幅度,所述不同表面波模式包括轴对称模式和非轴对称模式。每个频率分量可以有不同的非轴对称模式,不同的非轴对称模式中的一个或多个可以是等离子体非均匀性的指标。
在一些实施例中,可以通过位于插件上的算法快速识别此类非轴对称模式。将非轴对称模式的幅度与等离子体非均匀性百分比相关联的参考值数据库也可以位于所述插件或可拆卸处理器上。数字处理器还可以计算波模式振幅的变化率和一个或多个波模式振幅的加速度,以确定近期故障的可以性。给定频率下非轴对称模式幅度的测量值可以是给定频率表面波在不同传感器位置的相位差,这些传感器位置与对称位于轴对称腔室中的圆形电极中心具有相同的径向距离。或者,非轴对称模式的第二个指示器可以是不同传感器位置处给定频率表面波振幅之间的差异,这些传感器位置与对称位于轴对称腔室中的圆形电极中心具有相同的径向距离。
具有包含多通道检测器系统(未示出)的隔离隔室510的匹配网络506可以同时傅立叶分析、数字化和记录在底座501上不同位置传播的EM波的电压振幅和相位。由于固有噪声,可以根据需要在短时间间隔内对每个确定的电压振幅和相位进行平均,并且可以对传感器组502、503进行平均,以确定幅度或在相对大量脉冲上的时间平均值。
配备有传感器组或阵列的喷淋头、底座或其他通电元件(如电极)可用作测试系统,以生成数据来表征和记录EM波模式的频谱和空间模式与RF过程中等离子体密度的各种非均匀性之间的关系。在一些实施例中,工程师可以脱机分析这些数据,以表征和分类等离子体行为,并将其放入数据库中,所述数据库可以存储在插件存储设备中,所述插件存储设备可以连接到匹配的网络隔室或其他控制器或监控系统。
非轴对称和轴对称EM模式的振幅和相位模式特征之间的关系,以及过程和等离子体非均匀性或与适当条件的偏差,可以存储在连接到匹配网络的公开信号分析隔室的插件中。在RF等离子体处理系统可用作生产工具的实施中,当监测腔室的操作时,可以快速检测等离子体和过程的非均匀性。例如,如图2所示配置的图4所示的公开类型的传感器可以改装为如图1所示的RF等离子体系统。
为了确定过程等离子体是否经历了等离子体故障条件,与阻抗匹配网络相关的信号分析隔室中的分析处理器可以部分基于某些电极或天线上驱动频率的每个预先指定谐波集的非轴对称EM模式的幅度来计算参数。然后,一些实施例中的处理器可以将这些参数与数据库中的参考值范围进行比较。这种参考值数据库可以位于连接到信号分析隔室的插件上,所述信号分析隔室可以是阻抗匹配网络中的隔室或与阻抗匹配网络相关联的隔室。
数据库可以存储表征各种等离子体条件的参数,以帮助确定等离子体偏离可接受的“过程窗口”的程度。在一些实施例中,分析可以包括在距电极中心的给定距离处对来自每个传感器或其组的每个谐波的相位进行比较。传感器或传感器组关于任何方位角的此类相位的变化可以是所述谐波模式产生和/或传播的非对称性的度量,因此可以是等离子体非对称性和非均匀性的度量。在一些实施例中,分析可以包括计算距离对称轴给定距离的传感器或传感器组之间的振幅差。传感器或成组相邻传感器在方位角范围内的振幅变化也可以是所述谐波模式产生和/或传播的非对称性的度量,因此也可以是等离子体非对称性和非均匀性的度量。
然后,可将一组谐波中每一谐波的非对称性定量测量值(参数)存储在插件中,并可通过数据网络传输到腔室和工具控制器。此外,作为确定是否发生故障的过程的一部分,可以计算参数中的趋势和加速度,并与数据库中的参考值和标准进行比较。在一些实施例中,当此类故障条件发生时,可存储在插件上的算法和标准可在位于隔室中的处理器中执行,以确定补救或预防措施的过程。然后,这种动作可以快速传输到RF发生器和/或腔室和/或工具控制器。
在一些实施例中,用于比较参数、参数变化率和参数加速度的所有此类参数数据库、算法、标准和规范可位于连接到端口的数据存储设备或可拆卸处理器上,该端口是信号分析隔室的输入/输出端口。基于来自传感器的信号和由此导出的参数的表面波模式分析,由处理器快速执行,任何故障声明和纠正措施命令都可以传输到RF发生器,并在发生后5毫秒或更短时间内通过网络报告给腔室或系统的控制器。在一些实施例中,可以在一毫秒内将故障条件和指定的纠正措施指令传输到发生器。
在一些实施例中,可以足够快地检测到与所需等离子体均匀性偏离的多种类型的等离子体偏移,使得工具或腔室控制器可以在误加工晶片或基板之前采取措施纠正等离子体故障条件。在某些情况下,指定的纠正措施可以是短暂改变射频功率格式,例如连续波(continuous-wave,CW)或脉冲,或短暂完全关闭电源,或短暂改变频率,或暂停当前晶片的处理,并将晶片保存以供以后处理或丢弃,或者关闭反应腔室进行维护。
在某些实施例中,在检测到等离子体故障状况时,与匹配网络相关联的公开信号分析隔室可以命令由RF发生器和/或在一些实施例中由匹配网络执行适当的纠正措施。例如,RF过程生成器可以启动终止过程,以响应由喷淋头和/或底座上的传感器测量的信号来结束晶片的处理。或者,RF等离子体处理沉积系统可以中断功率,例如生产脉冲功率,以停止或脉冲等离子体,从而停止或大大减少二次等离子体。在某些情况下,在非常短暂的中断后,指定的纠正措施可以使处理继续进行。在某些实施中,纠正措施可以通过例如机器学习和/或基于产量数据或其他晶片诊断的编程补救程序来确定。
转至图6,根据本公开实施例示出了轴对称表面波在底座上传播的俯视图,其中,反应腔室中的等离子体是轴对称的。在图6中,圆601是轴对称表面波模式的基波和谐波频率分量的恒定相位和振幅曲线。这些圆与电极同心。当在腔室中,电极和等离子体均为轴对称且同轴时,这些模式占主导地位。任何频率下表面波的传播矢量602将是径向的。波将向中心和远离中心传播,当它们传播时,这些波将向等离子体注入功率。
转至图7,根据本公开实施例示出了横向电磁表面波在电极上传播的俯视图。在图7中,对于特定的单个非轴对称模式,恒定相位和等振幅的线701-704近似笔直和平行,无论是在基频还是在其谐波下。这种表面波可由布置在RF等离子体沉积系统的底座或喷淋头上的传感器检测。所述模式可称为“横向”,这意味着传播方向(如传播矢量705-707中所示)从一侧穿过电极表面到另一侧,或从中心平面到左右两侧。可以存在其他非轴对称模式,其中,恒定相位线可以是曲率中心偏离电极中心的曲线。每个频率的检测器读数可以分解为轴对称模式和(通常为少量的)非轴对称模式之和,反映等离子体的主要非均匀性。通常,分解可以识别横向模式分量和/或一个主要的“偏心”或位移径向模式,其中任何一个模式都是等离子体非均匀性配置的特征。等离子体非均匀性配置与特定非轴对称模式的相关性作为构建数据库的一部分,是在生产处理之前完成的,可以位于插件单元或其他位置。
转至图8,根据本公开实施例示出了的反应腔室的一个示例性方位角传感器布置的俯视图。在本实施例中,多个传感器800可以围绕反应腔室的一个或多个部件方位角地布置和/或在反应腔室本身上方位角地布置。如上文简要讨论的,在本实施例中可以是四个的多个传感器800可以围绕腔室对称轴805以不同角度放置在某些腔室部件上,例如喷淋头和/或底座,用于测量与表面波相关的表面电压或电流。在这种情况下,以90度间隔,但在一些实施例中,可以以不规则的方位角间隔。
传感器800可包括接收变化的电势或磁场的无源传感器800。传感器800可以布置在不同的方位角,用于检测相对于腔室对称轴805具有不同类型传播模式的电磁波。传感器800可以围绕反应腔室对称轴805和/或反应腔室内的部件或反应腔室本身以等距位置设置。类似地,传感器800可以彼此径向相对布置,使得传感器800和对称轴之间的间距可以大致相同。例如,传感器800-1和800-2之间的距离与800-3和800-4之间的距离大致相同。类似地,每个传感器800与腔室对称轴805的距离相同。下面更详细地讨论传感器800间距和位置的示例。
如图所示,传感器800布置在径向相对的位置。例如,传感器800-1径向相对于传感器800-3,而传感器800-2径向相对于传感器800-4。传感器800可用于非轴对称等离子体,从而发现反应腔室和/或其部件不同侧面上的波形差异,当波形出现差异时,如上文所述,提供通知,以便采取纠正或主动行动。例如,如果传感器800-1和传感器800-4从其径向相对的位置检测并报告波形差异,则此类差异可以提供谐波异相或具有不同振幅的指示,从而可以表明存在等离子体非均匀性和非对称性。当径向相对的检测器之间在由相对的传感器接收的信号中的一个或多个谐波的相对相位或振幅上存在差异时,就会出现这种波形差异。
在某些实施例中,可以使用四个传感器800,如图8所示。然而,在其他实施例中,可以使用不同数量的传感器800,例如六、八、十二、十四、十六、十八、二十或更多传感器800。在一些实施例中,传感器之间的方位角可以不相等,然而,传感器可以观察到非方位对称等离子体模式的相同特征。在某些实现中,具有六到十二个传感器800可以是有益的。传感器800的数量越多,可收集的数据越多,从而提供增强的抗噪声能力和识别非均匀性的灵敏度。然而,通过增加传感器800的数量,数据处理会变慢,从而导致较慢发生的补救和预防行动。所属领域的技术人员应当理解,将传感器800的数量与所需的数据粒度水平相平衡,从而可以使RF等离子体过程得以优化。因此,随着计算能力的增加,以及处理数据的速度的增加,增加传感器800的数量可以是有益的。在某些实施例中,可以选择性地关闭和打开特定传感器800,从而允许控制器访问某些所需数据。例如,在具有八个传感器的系统中,可以选择并关闭其中四个传感器,从而减少生成的数据量。在其他实施例中,可以在操作中添加或删除额外的传感器,从而改变生成的数据量。
传感器800还可以包括各种类型的传感器,包括圆形和其他几何形状。在某些实施例中,传感器800可以是圆形的,面积在约0.1平方厘米到约10平方厘米之间。传感器800还可以包括表面绝缘体层或涂层,以保护传感器800免受反应腔室中的等离子体或反应物质的影响,还可以包括其他可选涂层和层,例如电流传感器的法拉第屏蔽、铝涂层等。
转至图9,根据本公开实施例示出了反应腔室上方位角地安装的传感器的侧截面图。在本实施例中,反应腔室940具有对称轴905,所述对称轴从喷淋头930的中心纵向穿过底座935。在其他实施例中,对称轴05可以从另一个电极(例如天线)的中心纵向延伸。多个传感器900可以方位角地布置在反应腔室940周围和内部的不同位置,以及特定部件(例如喷淋头930和/或底座935)周围或与之相关。由于图9是横截面,每个位置仅示出了两个传感器900,然而,如关于图8详细讨论的,在RF等离子体监测过程的实施期间可以使用更多的传感器900。
在某些实施例中,传感器900-1可以围绕在喷淋头930的边缘或外围布置。在这样的实施方式中,传感器900-1可以至少部分或完全嵌入喷淋头900-1内,并且传感器900-1的外表面可以涂覆绝缘层,从而保护传感器900-1免受反应腔室940内环境的影响。在这样的实施例中,两个或多个传感器900-1可以围绕喷淋头930的边缘方位角地布置,并且优选为四个或多个传感器,从而允许检测RF等离子体处理中的非均匀性和非对称性。
在其他实施例中,传感器900-2可沿反应腔室940真空内的底座935边缘布置。如上文关于传感器900-1所解释的,传感器900-2可以部分或完全嵌入底座935中,并且可以包括也可以不包括布置在其外表面上的绝缘层。此外,在一些实施例中,传感器可以具有覆盖它们的介电保护部分。除了布置在真空腔室内底座935周围的传感器900-2外,其他传感器900-3和900-4可布置在反应腔室940的真空腔室外和底座935周围。此类传感器900-3和900-4可沿底座935和/或其基座部分布置在金属表面上。传感器900也可以布置在底座935的其他支撑结构上或与之相关。
在其他实施例中,传感器900-5可以布置和/或以其他方式内置在反应腔室940的侧壁中。在这些实施例中,当壁为电介质时,传感器900-5可以布置在反应腔室940外部的腔室外壁915上,或者可以内置在侧壁中,以便传感器900-5位于反应腔室940的真空内。对于金属壁,传感器的拾取器应暴露在壁的内表面,以便可以感应到腔室内部的电磁场。其他传感器900-6可以布置在视口920中,视口920沿着腔室外壁915。在这些实施例中,视口中的传感器900-6可以位于反应腔室940的真空外部或反应腔室940内。
在其他实施例中,传感器900-7可布置在位于例如喷淋头930周围的电介质中,而在其他实施例中,传感器900-7可布置在位于底座935周围的电介质中。虽然本文讨论了传感器900的具体位置,但传感器900可以位于反应腔室940内和反应腔室周围的各种其他位置。例如,传感器900可以布置在天线或其他部件附近的电介质壁的内部或外部。传感器900还可以位于反应腔室940的金属壁内的各种其他位置。
在某些实施例中,可以使用传感器900-1–900-7的组合,以便更准确地监测RF等离子体处理。例如,喷淋头930边缘周围的传感器900-1可以与围绕底座935边缘的传感器900-2组合。类似地,反应腔室940外部的传感器900-5的组合可以与位于反应腔室940内的传感器900-1/900-2组合。在其他实施例中,三、四、五、六、七或更多个传感器900位置组合的变化可用于进一步优化RF等离子体处理的监测。
转至图10,根据本公开实施例示出了模型反应器腔室的侧截面。在本实施例中,示出了多个方位角地布置传感器1000的示例性位置,围绕底部电极,在本例中,底部电极是底座1035。与上文关于图9讨论的传感器1000类似,图10示出了布置在不同位置的传感器1000。传感器1000-1围绕底座1035的外边缘布置。表示为1000-2的传感器方位角位置围绕反应腔室1040内部布置,而传感器方位角位置1000-3围绕反应腔室1040相邻视口的外围布置。
在本实施例中,在每个位置示出了12个传感器1000,然而,在其他实施中,可以使用其他更少和更多数量的传感器1000。此外,除了明确说明的传感器1000位置外,还可以使用其他传感器1000位置来进一步增强RF等离子体处理。
转至图11,根据本公开实施例示出了反应腔室的侧截面示意图。在本实施例中,传感器1100围绕电感耦合等离子体源1105的天线布置。因此,传感器1100可感测来自位于反应腔室1140内的等离子体源的RF电流或电压。
转至图12,根据本公开实施例示出了RF等离子体处理系统的部分截面。在本实施例中,RF等离子体处理系统1200包括底座1235。底座1235包括沿底座1235的上部外边缘布置的传感器1240。如上所述,传感器1240可以布置在上部外边缘中,嵌入在底座1235内,或者可替代地可以围绕在反应腔室真空内部或外部的外缘布置。
RF等离子体处理系统1200还包括通过通信线路1250连接到传感器1240的电路1245。当传感器1240从RF等离子体处理系统1200接收感测数据时,可以将数据发送到电路1245进行处理。由于电路1245相对靠近传感器1240,因此可以减少在它们之间传输感测数据所花费的时间。因此,可以更快地执行关于传感器1240感测的电特性的初始计算,然后将其传输到RF等离子体处理系统1200的其他部件1255。其他部件1255可以包括,例如,RF发生器、阻抗匹配网络、故障检测隔室、反应腔室的操作控制器、工具的操作控制器、插件设备、信号分析隔室或连接到RF等离子体处理系统1200的其他部件。
RF等离子体处理系统1200的部件、其他部件1255或未显示的其他部件可以调整RF等离子体处理系统1200的各个方面,以纠正传感器1240检测到并至少部分在电路1245内处理的故障。电路1245可以位于隔离结构中的反应腔室(未显示)真空外部的底座1235内,以保护电路1245免受反应腔室内条件的影响。在其他实施例中,电路1245可位于底座1235的基座中,或位于靠近底座1235的其他区域中。
如图12所示,RF等离子体处理系统1200的部件的横截面,所属领域的技术人员将了解到,电路1245可以在围绕底座1235的不同方位以大致相同的半径布置。因此,独立电路1245可用于每个传感器1240或传感器1240,并可连接到集中式电路1245,该集中式电路1245位于围绕底座1235和/或底座1235内的一个或多个选定位置。
转至图13,示出了根据本公开实施例的电感耦合RF等离子体处理系统1300的部分截面。所示传感器1340配置在感应天线1330附近,可以安装在靠近天线的电介质壁(未显示)的外部或内部。
转到图14、15和16,示出了根据本公开实施例的RF等离子体处理系统匹配网络的过程相图。在图14中,显示了过程的第一阶段,其中,随着发生器的直流部分提供更高的电压,RF的振幅随时间增加。第一阶段可以持续约1毫秒,具体取决于制造商提供的设计特征。如图所示,正向电压1400随着每个脉冲而增加,类似地,反射电压1405也随着每个脉冲而增加。
在图15中,显示了过程的第二阶段,其中,RF电压的振幅是恒定的,但匹配没有改变。因此,正向电压1500的振幅是恒定的,反射电压1505的振幅也是恒定的。在图16中,显示了过程的第三阶段,在所述第三阶段中对匹配进行了调谐。在第三阶段,正向电压1600的振幅恒定。反射电压1605的振幅也恒定,然而,反射电压1605的振幅也较低。未示出的第四阶段也可以发生在一些实施例中,其中,过程参数已经改变,例如电容器的改变,并且达到终点。在第四阶段,阻抗可以发生变化,这可以导致电压变化。
转到图17,示出了根据本公开实施例的RF处理系统匹配网络的阶段的示意图。如上所述,一个过程可以包括四个阶段。在第一阶段1700,直流电流正在上升。在第二阶段1705,匹配网络开始调谐,但可以未完全调谐。在第三阶段1710中,会出现稳态,其中,反射电压相对较低。在第四阶段1715中,可以达到结束时间。对于特定晶片,此过程会发生多次。
本公开的各个方面可以允许基于上述过程中相对于各个操作阶段的动态变化来推导单个晶片的统计量。因此,可以对一个或多个阶段执行统计,并且统计量可以包括与特定脉冲相关联的信号。例如,可以准备统计量,以允许在传感器上或周围、匹配网络的输出上、等离子体处理系统内或周围以及或匹配网络外部的部件上测量RF电压。还可以根据RF电压和RF电流之间的角度来准备RF电流和/或相位的统计量。所述过程可以针对多个晶片完成,并汇编统计量,从而可以监测趋势。趋势可用于确定何时发出各种警报和/或干预命令。下面详细讨论了确定统计量和生成警报和干预命令的各个方面。
转到图18,示出了根据本公开实施例的RF等离子体处理系统中RF脉冲开始处的电压的图示。在讨论统计量的积累和如何使用数据之前,先举例说明脉冲如何达到稳态。在本图中,x轴表示以微秒为单位的时间,y轴表示与电压成比例的单位测量值。因此,需要进行各种测量和计算,例如斜率、达到最大电压的时间、达到稳态最大电压的时间和稳态电压。可以对每个和/或多个脉冲执行此类测量,从而允许计算特定值的平均值、特定值的标准偏差、斜率、趋势等进行监测。将不详细讨论此类测量值的获取和使用。
本公开的实施例可以提供在RF等离子体处理系统中提供RF脉冲数据的方法。所述方法可包括在RF等离子体处理系统的匹配网络内测量电参数。最初,匹配网络可以包括检测正在发生的过程类型的功能,因此,匹配网络可知功率、电容器的预设位置、电容器在反射功率约为零时达到的位置,以及用户可以提供的过程的识别值。这些已知方面中的每一个方面在本文中可以单独或共同称为匹配网络值。
测量电气参数可以包括测量RF等离子体处理操作的一个或多个方面。一些测量可以包括相对较慢的变量,例如测量电容器的位置,所述变量可以仅每10毫秒发生一次,而其他测量可以相对较快,例如测量电流、电压和相位,如上文详细讨论的。相对快速的测量可以发生在微秒时间帧内,例如每10微秒或更少。
在操作中,方法还可以包括确定电参数测量值的属性。对于测量的每个电参数,可以识别特定特征,例如反射功率达到最小值的时间和/或在等离子体腔室中或周围的部件上测量的电压包络的斜率,和/或包络达到稳态的时间,以及显示电压和/或电流如何演变的特征。例如,所述属性可以包括一个或多个参数,例如最小值、最大值、暂态特征,例如斜率、斜坡或趋势。可以基于所识别的属性类型,在设定的时间段内或预定义的时间段内确定属性。
在操作中,方法还可以包括限定电参数测量值的属性的第一统计量。对于特定属性,统计量的示例可以包括平均值、标准差、趋势等。因此,统计量可以反映特定时间段的特定电气参数的属性。统计的时间段可以基于最初采取的测量类型。如上所述,对于慢速变量,时间段可以比相对快速的变量更长。
在操作中,方法还可以包括基于阶段和过程中的至少一个的第一统计量限定第二统计量。第二统计量可以代表由第一统计量限定的、为阶段或过程聚合的计算值或测量值的集合。例如,针对第一阶段收集的第一统计量可以组合以共同限定第二统计量。聚合第二统计量的类似方法可以适用于某个过程,其中,所述过程可以是一段时间、一种操作类型、匹配的网络参数或所述过程的另一个操作方面。
在操作中,方法还可以包括将第一统计量和第二统计量传递给用户。在某些实施例中,传递可以基本上以与用户的数据采集速率相同的速率发生。由于第一和第二统计量可以单独或共同用于确定匹配网络或RF等离子体处理系统的其他部件或方面的操作条件,因此将统计量传递给用户可以允许用户了解所述过程是如何进行的。然后,匹配网络、与匹配网络相关联的部件或用户可以使用统计量来确定对过程的任何更改是否有益。例如,用户可以使用第一和第二统计量来确定正在发生对晶片或工艺的其他方面有害的情况。因此,用户可以采取纠正措施、停止过程等,以防止事件发生。此外,第一和第二统计量可用于向用户和/或匹配网络和/或RF发生器和/或等离子体处理系统的部件提供警报或干预命令。警报的启动会通知用户和/或匹配网络和/或RF发生器和/或等离子体处理系统的部件发生状况,而干预会采取措施解决所述状况。
在操作中,方法还可以包括在匹配网络中存储第一统计量和第二统计量。通过将第一统计和第二统计存储在匹配网络中,收集数据的存储库可用于等离子体处理的其他方面。例如,存储的信息可用于确定部件(例如电容器)的预期寿命。数据还可用于将第一和第二统计量之一与电特性的实际测量值进行比较,以确定是否应发生特定动作。在某些实施例中,当第一和第二统计量中的至少一个与电特性的实际测量值之间的比较落入所限定的标准内时,所述动作可以包括警报或干预。
在其他实施例中,可以基于第一和第二统计量中的至少一个统计量来调整匹配的网络设计参数。设计参数可以包括控制匹配网络运行方式的参数,例如找到反射功率的最小值或有意将算法改变为不达到精确最小值,但优化另一个参数,例如,使达到最小反射功率的时间等于预定目标值和/或在等离子体腔室中或周围的部件上测量的电压包络的斜率,以达到预定值。类似地,可以基于第一和第二统计量中的至少一个来调整匹配网络操作参数。在其他实施例中,当第一和第二统计量在公共过程条件下发生时,可以将其分组,所述公共过程条件可以由用户提供的标识、输入功率、电容器预设位置和电容器调谐位置来限定。因此,可以分析常见过程的统计量,以确定RF等离子体处理系统内的预期条件。
除上述方法外,还可以在匹配网络上执行某些诊断。诊断可以包括使用上述数据,也可以包括收集额外信息的其他部件和设备。下面参照图19详细描述此类部件和方法的示例。
转至图19,示出了根据本公开实施例的RF等离子体处理系统的匹配网络1900的示意图。在本实施例中,可以将RF信号馈入匹配网络,接近低功率RF源201的输出。RF信号可用作诊断信号,以确定匹配网络的某些特性,下文对此进行了详细描述。
匹配网络可以包括许多部件,包括电感器、电容器、传感器等。在图19所示的实施例中,匹配网络包括位于匹配网络的输入侧和输出侧的阻尼元件203。阻尼元件203可以将信号抑制例如40分贝,以确保匹配网络输出1905上的等离子体腔室或匹配网络输入侧的发生器不会影响匹配网络中发生的测量。
匹配网络还可以包括各种传感器,例如布置在匹配网络输入侧的输入传感器223,其可以测量例如相位和振幅。其他类型的传感器可以包括一个或多个电压传感器212、阻抗传感器211、电流传感器213等。匹配网络还可以包括各种其他部件,例如可变阻抗部件221,例如电容器,以及固定阻抗部件222,例如电感器。匹配网络的这些部件在操作上定义如下。
低功率RF源201可以内置在匹配网络中,也可以是插入匹配网络端口(未显示)的附加部件。因此,在某些实施例中,附加低功率RF源201可允许在多个匹配网络上使用相同的低功率RF源,或以其他方式改变以适应各种操作约束。低功率源201可以提供以已知频谱(例如频率和相位)构建的波,例如正弦波或任意波形。
RF信号可以包括具有相对于单个参考值RF信号定义的振幅和相位的频谱。低功率RF源201的输出处的阻抗可以由传感器211测量。在某些实施例中,RF信号可以通过阻断电路202。阻断电路202可以阻断匹配网络的处理频率,但不阻断RF信号。
然后,RF信号可以穿过匹配网络电路的主要部分,而不会到达输出端。部件203可以阻止RF信号通过输出端。部件203可以在过程频率下具有低阻抗,例如小于0.1欧姆(Ω),而对于RF信号具有高阻抗。类似地,RF信号也可以不通过匹配网络的输入端口。
匹配电路可以包括一个或多个电感器222和电容器221。在某些实施例中,电容器221可以是可变电容器,例如可变真空电容器和/或电子可变电容器,例如pin二极管可切换电容器。在匹配电路的某些方面中,一个或多个传感器212可以测量RF信号的电压、电流和相位。在某些实施例中,传感器212可以是电流传感器,例如布置在匹配电路内预期电压相对较低的位置的传感器213。在某些实施中,传感器212和213还可以在处理频率下测量电压、电流和相位。
在操作期间,传感器212和213可以监测RF信号,并且可以将监测到的RF信号与匹配网络的预定义数学模型进行比较。可以将预定义的数学模型构建到匹配网络中。预定义的数学模型可以包括匹配网络的固定和可变元素的实际值。由部件任一侧的传感器212测量的电压差可与部件的阻抗成比例。当测量值和模型在处理过程中发生不匹配时,匹配网络可以向用户和/或匹配网络和/或RF发生器和/或等离子体处理系统的部件发送警报和/或干预命令。当出现预定义的不匹配限制或范围时,会发出警报或干预命令。
警报可以包括向用户发送发生不匹配的消息,从而允许用户确定接下来的步骤。例如,用户可以选择停止进程,或确定不匹配程度太小而不停止进程。用户还可以响应于更改来改变匹配网络的操作参数。干预命令可以允许立即采取行动,例如自动更改匹配网络的操作参数或以其他方式告诉用户要采取什么行动。在某些实施例中,干预命令可以自动停止RF等离子体处理系统的操作。
在某些实施中,如果部件两侧的测量电流不同,则一定百分比的电流会通过电弧损失。此外,如果部件两侧之间的电压差与部件电流和阻抗所暗示的计算电压不对应,则这种差异可以表明部件出现故障。例如,较高的电阻可表明部件老化,需要维护或更换部件。在某些实施例中,RF信号的频率可以随时间变化,以在匹配电路中的预定义位置为特定分量的预定值创建谐振。
因此,上述系统和方法可以为RF等离子体处理系统匹配网络提供越来越准确和相对快速的诊断方法。例如,在某些实施例中,诊断可以在微秒时间范围内发生。因此,此类匹配网络的用户可以增加对匹配网络功能动态的了解,以确保匹配网络根据可接受的制造公差运行。
根据各种实施例,当等离子体打开或关闭时,可以发生上述诊断方法。当在等离子体开启的情况下进行诊断时,会提供RF信号的完整返回路径。通过使用非功率载波的频率,此类诊断也可用于检测阻抗的相对快速变化,例如电弧。当在等离子体关闭的情况下进行诊断时,测量可以集中在通向等离子体反应腔室和/或匹配网络的结构上。
在操作期间,上述系统和方法可用于诊断匹配网络和/或RF等离子体处理系统。此类方法可包括提供诊断RF信号,例如上述RF信号,其中,诊断RF信号包括多个频率。可以将诊断RF信号提供给RF等离子体处理系统的匹配网络。
在操作中,这些方法还可以包括在诊断RF信号根据所述匹配网络的多个可变电容器而传播经过匹配网络传播时,测量诊断RF信号的电压、电流和相位。当诊断RF信号通过匹配网络电路传播时,可以进行测量,匹配网络电路可以包括例如传感器、电容器、电感器和其他此类部件。
在操作中,这些方法还可以包括阻断匹配网络电路核心和提供诊断RF信号的源之间的处理RF。处理无线电信号可以包括表示在等离子体处理期间供应到反应腔室的RF的信号,并且匹配网络电路核心可以包括上面解释的任何匹配网络部件和电路,包括传感器、电容器、电感器等。
在操作中,这些方法还可以包括在匹配网络的输入端和输出端处阻断诊断RF信号,从而防止诊断RF信号干扰RF等离子体处理系统的操作。
在操作中,这些方法还可以包括从诊断RF信号中获取多个谐振分量,并收集电压、电流和相位的典型参考值,以及电压、电流和相位的统计量中的至少一个。
在操作中,这些方法还可以包括将电压、电流和相位与电压、电流和相位的典型参考值以及电压、电流和相位的统计值中的至少一个进行比较。基于比较,方法还可以包括基于将电压、电流和相位与电压、电流和相位的典型参考值,以及电压统计、电流统计和相位统计中的至少一个进行比较,生成警报和干预中的至少一个。上述详细描述了此类警报和干预。
在某些实施例中,方法可以包括通过计算获得匹配的固定和可变分量的值中的至少一个。因此,获取此类分量还可以执行诊断以确定此类分量的功能,并可以进一步用于确定是否需要或建议特定警报或干预。
图20示出了根据本公开的一个或多个示例的计算机处理设备1901。计算机处理设备1901可用于实现本公开的各个方面,例如上文讨论的方法和系统,包括例如用于实现上述实施例的控制器或其他处理设备。计算机处理设备1901可以包括布置在一个或多个印刷电路板(未另行示出)上的一个或多个中央处理单元(central processing unit,单数“CPU”或复数“CPUs”)1906。一个或多个CPU 1906中的每一个CPU可以是单核处理器(未独立图示)或多核处理器(未独立图示)。多核处理器通常包括布置在同一物理芯片(未示出)上的多个处理器核(未示出)或布置在多个芯片(未示出)上的多个处理器核(未示出),这些处理器核共同布置在同一机械封装(未示出)内。计算机处理设备1901可以包括一个或多个核心逻辑设备,例如主桥1910和输入/输出(input/output,“IO”)桥1915。
CPU 1906可以包括到主桥1911的接口1908、到系统存储器1920的接口1918以及到一个或多个IO设备(例如图形处理单元(“GFX”)725)的接口1923。GFX 1925可以包括一个或多个图形处理器内核(未独立显示)和到显示1930的接口1928。在某些示例中,CPU 1906可以集成GFX 1925的功能,并直接连接(未示出)到显示器1930。主桥1911可以包括到CPU1906的接口1908、到IO桥1915的接口1913,例如CPU 1906不包括到系统内存1920的接口1918、到系统内存1920的接口1916,以及例如CPU 1906不包括集成GFX 1925或到GFX 1925的接口1923、到GFX 1925的接口1921。所属领域的技术人员将认识到,CPU 1906和主桥1910可以全部或部分集成,以减少芯片数量、主板面积、散热设计功率和功耗。IO桥1915可以包括到主桥1910的接口1913,到一个或多个IO扩展设备1935的一个或多个接口1933,到键盘1940的接口1938,到鼠标1945的接口1943,到一个或多个本地存储设备1950的接口1948,以及到一个或多个网络接口设备1955的接口1953。
每个本地存储设备1950可以是固态存储设备、固态存储设备阵列、硬盘驱动器、硬盘驱动器阵列或任何其他非暂态计算机可读介质。每个网络接口设备1955可以提供一个或多个网络接口,包括例如以太网、光纤通道、全球微波接入互操作性(WorldInteroperability for MicrowaveAccess,WiMAX)、无线网络通信技术
Figure BDA0003754938400000261
蓝牙
Figure BDA0003754938400000262
或适合于促进网络通信的任何其他网络协议。除了一个或多个本地存储设备1950之外,计算机处理设备1901可以包括一个或多个网络连接存储设备1960,或者代替一个或多个本地存储设备1950。网络连接存储设备1960可以是固态存储设备、固态存储设备阵列、硬盘驱动器、硬盘驱动器阵列或任何其他非暂态计算机可读介质。网络连接存储设备1960可以或可以不与计算机处理设备1901并置,并且可以通过一个或多个网络接口设备1955提供的一个或多个网络接口来访问计算机处理设备1901。
所属领域的技术人员将认识到,计算机处理设备1901可以包括一个或多个专用集成电路(application-specific integrated circuits,“ASIC”),其被配置为以更有效的方式执行特定功能,例如哈希(未示出)。一个或多个ASIC可以直接与CPU 1905、主桥1910或IO桥1915的接口连接。或者,专用计算系统(未示出),有时称为挖掘系统,可以仅减少为执行所需功能的必要部件,例如通过一个或多个哈希ASIC进行哈希,以减少芯片数量、主板面积、散热设计功率和功耗。因此,所属领域的技术人员将认识到,一个或多个CPU 705、主桥1910、IO桥1915或ASIC或其功能或特征的各种子集、超集或组合可以全部或部分集成,或根据一个或多个所示示例可以基于应用、设计或形状因子而异的方式分布在各种设备之间。因此,对计算机处理设备700的描述仅仅是示例性的,并不旨在限制构成适合执行计算操作的计算系统的部件的类型、种类或配置,所述计算操作包括但不限于哈希函数。此外,所属领域的技术人员将认识到,计算机处理设备1901、专用计算系统(未示出)或其组合可以布置在独立、桌面、服务器或机架安装的形状因子中。
所属领域的技术人员将认识到,根据一个或多个所示示例,计算机处理设备1901可以是基于云服务器、服务器、工作站、台式机、笔记本电脑、上网本、平板电脑、智能手机、移动设备和/或任何其他类型的计算系统。
本公开中的示例还针对存储计算机可执行指令的非暂态计算机可读介质,该指令可由访问计算机可读介质的计算机的一个或多个处理器执行。计算机可读介质可以是可由计算机访问的任何可用介质。例如,此类计算机可读介质可包括随机存取存储器(RandomAccess Memory,RAM)、只读存储器(Read-Only Memory,ROM)、电可擦编程只读存储器(Electrically Erasable Programmable Read-Only Memory,EEPROM)、只读光盘(CompactDisc Read-Only Memory,CD-ROM)或其他光盘存储器、磁盘存储器或其他磁存储设备,或可用于携带或存储指令或数据结构形式的所需程序代码并可由计算机访问的任何其他介质。本文使用的磁盘和光盘包括光盘(compact disc,“CD”)、激光光盘、光盘、数字多功能光盘(digital versatile disc,“DVD”)、软盘和蓝光
Figure BDA0003754938400000271
Figure BDA0003754938400000272
光盘,其中,磁盘通常以磁性方式再现数据,而光盘则用激光以光学方式再现数据。
还要注意,下面要求保护的主题的软件实现方面通常在某种形式的程序存储介质上编码或在某种类型的传输介质上实现。程序存储介质是非暂态介质,可以是磁性(例如软盘或硬盘驱动器)或光学(例如光盘只读存储器或“CD ROM”),并且可以是只读或随机访问。类似地,传输介质可以是双绞线、同轴电缆、光纤或本领域已知的一些其他合适的传输介质。所要求保护的主题不受任何给定实现的这些方面的限制。
出于解释的目的,上述描述使用了特定的术语来提供对本公开的透彻理解。然而,对于本领域技术人员来说,为了实施本文所述的系统和方法,不需要具体细节是显而易见的。出于说明和描述的目的,给出了具体示例的上述描述。上述描述并非旨在详尽无遗或将本公开限制在所述的精确形式。显然,鉴于上述教导,许多修改和变化是可能的。示出和所述示例是为了最好地解释本公开的原理和实际应用,从而使所述领域的其他技术人员能够最好地利用本公开和经过各种修改的各种示例,以符合预期的特定用途。本公开的范围由以下权利要求及其等价物限定。

Claims (20)

1.一种在射频等离子体处理系统中提供射频脉冲数据的方法,所述方法包括:
测量所述射频等离子体处理系统的匹配网络内的电参数;
确定所述电参数的测量值的属性;
限定针对所述电参数的测量值的属性的第一统计量;
基于所述第一统计量为阶段和过程中的至少一个限定第二统计量;
将所述第一统计量和所述第二统计量传递给用户;以及
在所述匹配网络中存储所述第一统计量和第二统计量。
2.根据权利要求1所述的方法,还包括:将所述第一统计量和所述第二统计量中的至少一个统计量与所述射频等离子体处理系统内电特性的实际测量值进行比较。
3.根据权利要求1所述的方法,还包括:当所述第一统计量和所述第二统计量中的至少一个统计量与所述电特性的实际测量值之间的比较落入所限定的标准范围内时提醒用户。
4.根据权利要求1所述的方法,还包括:当所述第一统计量和所述第二统计量中的至少一个统计量与所述电特性的实际测量值之间的比较落入所限定的标准范围内时执行干预。
5.根据权利要求1所述的方法,还包括:基于所述第一统计量和所述第二统计量中的至少一个统计量来确定所述匹配网络中电容器的寿命。
6.根据权利要求1所述的方法,还包括基于所述第一统计量和所述第二统计量中的至少一个统计量调整匹配网络设计参数。
7.根据权利要求1所述的方法,还包括:在由用户提供的过程标识、输入功率、电容器预设位置和电容器调谐位置中的至少一个限定的常规处理条件下对所述第一统计量和所述第二统计量进行分组。
8.根据权利要求1所述的方法,其中,确定所述电参数的测量值的属性发生在小于约10毫秒内。
9.根据权利要求1所述的方法,还包括:基于所述第一统计量和所述第二统计量中的至少一个统计量调整匹配网络操作参数。
10.根据权利要求1所述的方法,其中,所述传递以与用户的数据采集速率基本相同的速率进行。
11.根据权利要求1所述的方法,还包括:在所述匹配网络的部件之前和之后的测量值之间的比较高于预定义极限时执行干预。
12.一种射频等离子体处理系统,包括:
反应腔室;
与反应腔室电连接的匹配网络;
布置在所述匹配网络内的传感器,所述传感器用于测量射频等离子体处理系统的匹配网络内的电参数;以及
连接到所述传感器的控制器,所述控制器用于:
确定所述电参数的测量值的属性;
限定针对所述电参数的测量值的属性的第一统计量;
基于所述第一统计量为阶段和过程中的至少一个限定第二统计量;
将所述第一统计量和所述第二统计量传递给用户;以及
将所述第一统计量和所述第二统计量存储在所述匹配网络中。
13.根据权利要求12所述的系统,所述控制器进一步将所述第一统计量和所述第二统计量中的至少一个统计量与所述射频等离子体处理系统内电特性的实际测量值进行比较。
14.根据权利要求12所述的系统,还包括:布置在所述匹配网络内的第二传感器。
15.根据权利要求12所述的系统,其中,所述传感器在处理频率下测量电压、电流和相位中的至少一个。
16.根据权利要求12所述的系统,其中,当所述匹配网络的部件之前的测量值和之后的测量值之间的比较高于预定义极限时,所述控制器执行干预。
17.根据权利要求12所述的系统,其中,所述第一统计量和所述第二统计量以与用户的数据采集速率基本相同的速率传递给用户。
18.一种在射频等离子体处理系统中提供诊断的方法,所述方法包括:
向射频等离子体处理系统的匹配网络提供包括多个频率的诊断射频信号;
当所述诊断射频信号根据所述匹配网络的多个可变电容器而传播经过匹配网络时,测量所述诊断射频信号的电压、电流和相位;
阻断匹配网络电路核心和提供所述诊断射频信号的源之间的处理射频;
在所述匹配网络的输入端和输出端处阻断所述诊断射频信号;
从所述诊断射频信号获得多个谐振条件;
收集所述电压、所述电流和所述相位的典型参考值以及所述电压、所述电流和所述相位的统计量中的至少一个;
将所述电压、所述电流和所述相位与所述电压、所述电流和所述相位的参考值以及所述电压、所述电流和所述相位的统计量中的至少一个进行比较;以及
基于将所述电压、所述电流和所述相位与所述电压、所述电流和所述相位的典型参考值以及所述电压、所述电流和所述相位的统计量中的至少一个进行比较,生成警报和干预中的至少一个。
19.根据权利要求18所述的方法,还包括:获得匹配的固定和可变分量中的至少一个。
20.根据权利要求18所述的方法,其中,当所述匹配网络的部件之前和之后的测量值之间的比较高于预定义极限时,执行所述干预。
CN202180010142.4A 2020-01-20 2021-01-20 射频匹配网络和发生器 Pending CN115004330A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062963444P 2020-01-20 2020-01-20
US62/963,444 2020-01-20
US17/152,634 US11961711B2 (en) 2020-01-20 2021-01-19 Radio frequency match network and generator
US17/152,634 2021-01-19
PCT/US2021/014101 WO2021150554A1 (en) 2020-01-20 2021-01-20 Radio frequency match network and generator

Publications (1)

Publication Number Publication Date
CN115004330A true CN115004330A (zh) 2022-09-02

Family

ID=76857205

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180010142.4A Pending CN115004330A (zh) 2020-01-20 2021-01-20 射频匹配网络和发生器

Country Status (6)

Country Link
US (1) US11961711B2 (zh)
EP (1) EP4094283A4 (zh)
JP (1) JP2023512486A (zh)
KR (1) KR20220129019A (zh)
CN (1) CN115004330A (zh)
WO (1) WO2021150554A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114222414A (zh) * 2021-11-09 2022-03-22 中国人民解放军军事科学院国防工程研究院工程防护研究所 一种用于诊断等离子体参数的系统及方法

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4679007A (en) 1985-05-20 1987-07-07 Advanced Energy, Inc. Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load
JP3007435B2 (ja) 1991-01-11 2000-02-07 新電元工業株式会社 Rf発生装置のマッチング制御回路
JPH05284046A (ja) 1991-01-29 1993-10-29 Shindengen Electric Mfg Co Ltd Rf発生装置のインピーダンスマッチング制御回路
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0732078B2 (ja) 1993-01-14 1995-04-10 株式会社アドテック 高周波プラズマ用電源及びインピーダンス整合装置
JP2642849B2 (ja) 1993-08-24 1997-08-20 株式会社フロンテック 薄膜の製造方法および製造装置
TW296534B (zh) 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5629653A (en) 1995-07-07 1997-05-13 Applied Materials, Inc. RF match detector circuit with dual directional coupler
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5737175A (en) 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5914974A (en) 1997-02-21 1999-06-22 Cymer, Inc. Method and apparatus for eliminating reflected energy due to stage mismatch in nonlinear magnetic compression modules
US5866869A (en) 1997-02-24 1999-02-02 Illinois Tool Works Inc. Plasma pilot arc control
EP0934623B1 (en) 1997-06-13 2007-01-24 Koninklijke Philips Electronics N.V. A switched-mode power supply
US5842154A (en) 1997-09-15 1998-11-24 Eni Technologies, Inc. Fuzzy logic tuning of RF matching network
US5910886A (en) 1997-11-07 1999-06-08 Sierra Applied Sciences, Inc. Phase-shift power supply
US6313587B1 (en) 1998-01-13 2001-11-06 Fusion Lighting, Inc. High frequency inductive lamp and power oscillator
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6313584B1 (en) 1998-09-17 2001-11-06 Tokyo Electron Limited Electrical impedance matching system and method
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US7215697B2 (en) 1999-08-27 2007-05-08 Hill Alan E Matched impedance controlled avalanche driver
JP3626047B2 (ja) 1999-10-05 2005-03-02 株式会社ケンウッド 同期捕捉回路及び同期捕捉方法
US6407648B1 (en) 1999-11-15 2002-06-18 Werlatone, Inc. Four-way non-directional power combiner
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US20110121735A1 (en) 2000-02-22 2011-05-26 Kreos Capital Iii (Uk) Limited Tissue resurfacing
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US8744384B2 (en) 2000-07-20 2014-06-03 Blackberry Limited Tunable microwave devices with auto-adjusting matching circuit
US6677828B1 (en) 2000-08-17 2004-01-13 Eni Technology, Inc. Method of hot switching a plasma tuner
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7132996B2 (en) 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
TW200300951A (en) 2001-12-10 2003-06-16 Tokyo Electron Ltd Method and device for removing harmonics in semiconductor plasma processing systems
JP2003197609A (ja) * 2001-12-27 2003-07-11 Tokyo Electron Ltd プラズマ処理装置の監視方法及びプラズマ処理装置
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6819052B2 (en) 2002-05-31 2004-11-16 Nagano Japan Radio Co., Ltd. Coaxial type impedance matching device and impedance detecting method for plasma generation
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
KR100486712B1 (ko) 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US6876155B2 (en) 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7244343B2 (en) 2003-08-28 2007-07-17 Origin Electric Company Limited Sputtering apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP4411282B2 (ja) 2003-11-27 2010-02-10 株式会社ダイヘン 高周波電力供給システム
US7243706B2 (en) 2004-05-28 2007-07-17 Ixys Corporation Heatsink for power devices
JP4099597B2 (ja) 2004-05-31 2008-06-11 ソニー株式会社 スイッチング電源回路
US7292045B2 (en) 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4975291B2 (ja) * 2004-11-09 2012-07-11 株式会社ダイヘン インピーダンス整合装置
WO2016097730A1 (en) 2014-12-16 2016-06-23 John Wood A power coupler
WO2006076357A2 (en) 2005-01-11 2006-07-20 Innovation Engineering, Llc Method of detecting rf power delivered to a load and complex impedance of the load
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4799947B2 (ja) 2005-02-25 2011-10-26 株式会社ダイヘン 高周波電源装置および高周波電源の制御方法
EP1891650A4 (en) 2005-03-05 2012-03-28 Innovation Engineering Llc ELECTRONICALLY VARIABLE CAPACITOR ARRAY
ATE535972T1 (de) 2005-04-19 2011-12-15 Knite Inc Verfahren und vorrichtung zum betrieb einer traveling-spark-zündvorrichtung bei hohem druck
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP2009503909A (ja) 2005-08-04 2009-01-29 ザ リージェンツ オブ ザ ユニヴァーシティ オブ カリフォルニア 交互配置三次元オンチップ差動誘導器及び変成器
WO2007024134A1 (en) 2005-08-26 2007-03-01 Fujifilm Manufacturing Europe B.V. Method and arrangement for generating and controlling a discharge plasma
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7538562B2 (en) 2006-03-20 2009-05-26 Inficon, Inc. High performance miniature RF sensor for use in microelectronics plasma processing tools
US20080061901A1 (en) 2006-09-13 2008-03-13 Jack Arthur Gilmore Apparatus and Method for Switching Between Matching Impedances
US7554334B2 (en) 2006-09-28 2009-06-30 Applied Marterials, Inc. Matching network characterization using variable impedance analysis
US7795877B2 (en) 2006-11-02 2010-09-14 Current Technologies, Llc Power line communication and power distribution parameter measurement system and method
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
WO2009111165A1 (en) 2008-02-18 2009-09-11 Advanced Magnet Lab, Inc. Helical coil design and process for direct fabrication from a conductive layer
CN101772992B (zh) 2008-03-26 2012-08-29 株式会社京三制作所 真空装置用异常放电抑制装置
US8008960B2 (en) 2008-04-22 2011-08-30 Cisco Technology, Inc. Synchronous rectifier post regulator
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
WO2009146439A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation System, method and apparatus for generating plasma
JP2010016124A (ja) 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9017533B2 (en) 2008-07-15 2015-04-28 Applied Materials, Inc. Apparatus for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9515494B2 (en) 2008-09-27 2016-12-06 Witricity Corporation Wireless power system including impedance matching network
US8070925B2 (en) 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
KR20110074912A (ko) 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
US20100159120A1 (en) 2008-12-22 2010-06-24 Varian Semiconductor Equipment Associates, Inc. Plasma ion process uniformity monitor
CA2750855C (en) 2009-01-26 2014-06-17 Bsn Medical, Inc. Water resistant bandage
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8330432B2 (en) 2009-12-22 2012-12-11 Advanced Energy Industries, Inc Efficient active source impedance modification of a power amplifier
US8889021B2 (en) 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
JP5631088B2 (ja) 2010-07-15 2014-11-26 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理方法
US8491759B2 (en) 2010-10-20 2013-07-23 COMET Technologies USA, Inc. RF impedance matching network with secondary frequency and sub-harmonic variant
US20120097104A1 (en) 2010-10-20 2012-04-26 COMET Technologies USA, Inc. Rf impedance matching network with secondary dc input
US8803424B2 (en) 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US9065426B2 (en) 2011-11-03 2015-06-23 Advanced Energy Industries, Inc. High frequency solid state switching for impedance matching
JP5578619B2 (ja) 2010-12-10 2014-08-27 パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカ 送信装置および受信装置
JP5711953B2 (ja) 2010-12-13 2015-05-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
JP5946227B2 (ja) 2011-01-04 2016-07-05 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 電力送達システム、電力制御システム、および、電力を送達するまたは電力制御する方法
US8416008B2 (en) 2011-01-20 2013-04-09 Advanced Energy Industries, Inc. Impedance-matching network using BJT switches in variable-reactance circuits
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8471746B2 (en) 2011-07-08 2013-06-25 Tektronix, Inc. Digital-to-analog conversion with combined pulse modulators
JP6106184B2 (ja) 2011-12-02 2017-03-29 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. Mpiのためのコイル配置
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US8911588B2 (en) 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US20130278140A1 (en) 2012-04-19 2013-10-24 Luxim Corporation Electrodeless plasma lamp utilizing acoustic modulation
US9171700B2 (en) 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
JP5534366B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及びイグニッション電圧選定方法
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9490353B2 (en) 2012-08-28 2016-11-08 Advanced Energy Industries, Inc. Three terminal PIN diode
EP3890448B1 (en) 2012-08-31 2023-07-19 AES Global Holdings, Pte. Ltd. Arc management with voltage reversal and improved recovery
US9082589B2 (en) 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9294100B2 (en) 2012-12-04 2016-03-22 Advanced Energy Industries, Inc. Frequency tuning system and method for finding a global optimum
KR102220078B1 (ko) 2012-12-14 2021-02-25 램 리써치 코포레이션 통계 데이터 데시메이션을 위한 통계의 계산
US10374070B2 (en) 2013-02-07 2019-08-06 John Wood Bidirectional bipolar-mode JFET driver circuitry
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP5529311B1 (ja) 2013-03-04 2014-06-25 株式会社コスモライフ ウォーターサーバー
JP6217096B2 (ja) 2013-03-14 2017-10-25 株式会社リコー 高電圧インバータ及び誘電体バリア放電発生装置とシート材改質装置
US9166481B1 (en) 2013-03-14 2015-10-20 Vlt, Inc. Digital control of resonant power converters
CN104134532B (zh) 2013-05-03 2017-09-08 胜美达电机(香港)有限公司 一种可变内径的线圈及利用其制成的电子模块
US10469108B2 (en) 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US20140367043A1 (en) 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
EP4177370A1 (en) 2013-07-17 2023-05-10 AES Global Holdings, Pte. Ltd. System for balancing consumption of targets in pulsed dual magnetron sputtering (dms)
US9589767B2 (en) 2013-07-19 2017-03-07 Advanced Energy Industries, Inc. Systems, methods, and apparatus for minimizing cross coupled wafer surface potentials
JP6161482B2 (ja) 2013-09-19 2017-07-12 ルネサスエレクトロニクス株式会社 半導体記憶装置
US9148086B2 (en) 2013-10-22 2015-09-29 Advanced Energy Industries, Inc. Photovoltaic DC sub-array control system and method
US9345122B2 (en) 2014-05-02 2016-05-17 Reno Technologies, Inc. Method for controlling an RF generator
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9728378B2 (en) 2014-05-02 2017-08-08 Reno Technologies, Inc. Method for controlling an RF generator
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9745660B2 (en) 2014-05-02 2017-08-29 Reno Technologies, Inc. Method for controlling a plasma chamber
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
JP6586424B2 (ja) 2014-03-24 2019-10-02 エーイーエス グローバル ホールディングス, プライベート リミテッド 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法
US10224184B2 (en) 2014-03-24 2019-03-05 Aes Global Holdings, Pte. Ltd System and method for control of high efficiency generator source impedance
WO2015146736A1 (ja) 2014-03-28 2015-10-01 株式会社村田製作所 コイルモジュール
US9591739B2 (en) 2014-05-02 2017-03-07 Reno Technologies, Inc. Multi-stage heterodyne control circuit
US9952297B2 (en) 2014-05-08 2018-04-24 Auburn University Parallel plate transmission line for broadband nuclear magnetic resonance imaging
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US9386680B2 (en) 2014-09-25 2016-07-05 Applied Materials, Inc. Detecting plasma arcs by monitoring RF reflected power in a plasma processing chamber
US9854659B2 (en) 2014-10-16 2017-12-26 Advanced Energy Industries, Inc. Noise based frequency tuning and identification of plasma characteristics
US10139285B2 (en) 2014-12-23 2018-11-27 Advanced Energy Industries, Inc. Fully-differential amplification for pyrometry
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10679823B2 (en) 2015-02-18 2020-06-09 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
WO2016149050A1 (en) 2015-03-13 2016-09-22 Advanced Energy Industries, Inc. Plasma source device and methods
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
KR102571772B1 (ko) * 2015-05-19 2023-08-25 램 리써치 코포레이션 매칭 네트워크 모델을 결정하도록 다양한 플라즈마 조건들을 적용하기 위해 복수의 유도성 픽스처 및 용량성 픽스처를 사용하기 위한 시스템들 및 방법들
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US10141788B2 (en) 2015-10-22 2018-11-27 Witricity Corporation Dynamic tuning in wireless energy transfer systems
US10008317B2 (en) 2015-12-08 2018-06-26 Smart Wires Inc. Voltage or impedance-injection method using transformers with multiple secondary windings for dynamic power flow control
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
JP6630630B2 (ja) 2016-05-18 2020-01-15 東京エレクトロン株式会社 プラズマ処理装置
US10229816B2 (en) 2016-05-24 2019-03-12 Mks Instruments, Inc. Solid-state impedance matching systems including a hybrid tuning network with a switchable coarse tuning network and a varactor fine tuning network
US9807863B1 (en) 2016-06-09 2017-10-31 Advanced Energy Industries, Inc. Switching amplifier
US10026592B2 (en) 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
JP6469321B2 (ja) 2016-07-15 2019-02-13 三菱電機株式会社 共振型インバータ
KR101909479B1 (ko) 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10263577B2 (en) 2016-12-09 2019-04-16 Advanced Energy Industries, Inc. Gate drive circuit and method of operating the same
US10410836B2 (en) 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10109462B2 (en) 2017-03-13 2018-10-23 Applied Materials, Inc. Dual radio-frequency tuner for process control of a plasma process
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
US10020752B1 (en) 2017-09-26 2018-07-10 Vlt, Inc. Adaptive control of resonant power converters
WO2019096564A1 (en) 2017-11-17 2019-05-23 Evatec Ag Rf power delivery to vacuum plasma processing
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10510512B2 (en) 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
KR20210090674A (ko) 2018-11-14 2021-07-20 에이이에스 글로벌 홀딩스 피티이 리미티드 세트포인트 추적에서 최소 지연을 위한 인터리빙된 스위치 모드 전력 스테이지들의 가산 합성

Also Published As

Publication number Publication date
EP4094283A1 (en) 2022-11-30
WO2021150554A1 (en) 2021-07-29
US11961711B2 (en) 2024-04-16
KR20220129019A (ko) 2022-09-22
EP4094283A4 (en) 2024-03-20
US20210225614A1 (en) 2021-07-22
JP2023512486A (ja) 2023-03-27

Similar Documents

Publication Publication Date Title
US20230260755A1 (en) Fast arc detecting match network
US20210217588A1 (en) Azimuthal sensor array for radio frequency plasma-based wafer processing systems
US11887820B2 (en) Sector shunts for plasma-based wafer processing systems
US20240145221A1 (en) Inductive broad-band sensors for electromagnetic waves
JP7499338B2 (ja) 無線周波数プラズマ処理システムのための均一性制御
US12027351B2 (en) Plasma non-uniformity detection
CN115004330A (zh) 射频匹配网络和发生器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination