CN114040992A - 含重氢的膜 - Google Patents

含重氢的膜 Download PDF

Info

Publication number
CN114040992A
CN114040992A CN202080044314.5A CN202080044314A CN114040992A CN 114040992 A CN114040992 A CN 114040992A CN 202080044314 A CN202080044314 A CN 202080044314A CN 114040992 A CN114040992 A CN 114040992A
Authority
CN
China
Prior art keywords
deuterium
hydrogen
plasma
film
zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080044314.5A
Other languages
English (en)
Inventor
S·M·佐伊特
M·M·朴
H·M·勒
C-C·庄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114040992A publication Critical patent/CN114040992A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3178Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for applying thin layers on objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/44Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the coatings, e.g. passivation layer or anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

在感应高密度等离子体腔室中,膜被改性以包括重氢。腔室硬件设计使得能够实现遍布基板的膜中的重氢浓度均匀性的可调性。固态电子设备的制造包括整合工艺流程以将实质上无氢和重氢的膜改性以包括重氢。

Description

含重氢的膜
技术领域
本公开内容总体涉及电子设备。更具体地,本发明的实施例涉及使用含重氢膜以增强电子设备的性能和可靠性。
背景技术
集成电路已经演变成可在单个芯片上包括数百万计的晶体管、电容器、及电阻器的复杂设备。在集成电路演变的过程中,功能性密度(即,每芯片面积的互连元件的数目)已逐渐增加,同时几何尺寸(即,使用制造工艺可创造的最小部件(或线段))已经减小。
减少集成电路(IC)的尺寸造成改进的性能、增加的容量和/或降低的成本。每个尺寸缩小需要更加精密的技术以形成IC。例如,缩减晶体管尺寸允许在芯片上并入增加数目的存储器或逻辑器件,使产品制造具有增加的容量。然而,驱使更多容量并非毫无问题。最佳化各设备性能的必要性变得越来越重要。
半导体制造者需要一种工艺及硬件以使得能够沉积或改性膜,以用于更可靠地钝化固态电子设备,例如,DRAM。此外,需要可调性和晶片内均匀性控制以改进设备晶片产量。
发明内容
本发明的一个或多个实施例涉及一种方法。在一个实施例中,一种方法包括改性上面沉积有膜的基板,此膜实质上无氢和重氢,此膜经改性以含有重氢。
在进一步的实施例中,一种方法包括将上面沉积有实质上无氢和重氢的膜的基板暴露于包括含重氢前驱物的等离子体,以在基板上形成经改性的含重氢膜。
一个或多个实施例涉及一种装置。在一个实施例中,一种装置包括:腔室主体,所述腔室主体包括顶部和至少一个侧壁,所述顶部和至少一个侧壁界定等离子体空腔;第一气体入口,所述第一气体入口位于腔室主体的顶部的中央,所述气体入口被配置为将包括含重氢前驱物的等离子体的流动传送至等离子体空腔;第二气体入口,所述第二气体入口位于腔室主体的至少一个侧壁中,所述第二气体入口被配置为将包括重氢前驱物的等离子体的流动传送至等离子体空腔;顶部线圈,所述顶部线圈在腔室主体的顶部中且具有天线;至少一个侧部线圈在腔室主体的侧部中;以及多区域基座,各个区域具有独立温度控制。
附图说明
通过参照实施例,可获得简短总结于上的本公开内容的更特定的说明,使得本公开内容的上述特征可被详细理解,所述实施例中的一些实施例被示出在附图中。然而,应注意到附图仅示出本公开内容的典型实施例,且因而不应被当作限制本公开内容的范围,由于本公开内容可承认其他等效实施例。本文所述的实施例作为示例而示出且不限于附图的图示,在附图中相同附图标记指示类似的元件。
图1描绘根据本文所述的实施例的改性膜的方法的一个实施例的流程图;
图2示出根据一个或多个实施例的电子设备的剖视图;
图3示出根据一个或多个实施例的电子设备的剖视图;
图4示出根据一个或多个实施例的电子设备的剖视图;
图5示出根据一个或多个实施例的电子设备的剖视图;
图6示出根据一个或多个实施例的装置的示意图;及
图7示出根据本发明的一个或多个实施例的多区域基座。
具体实施方式
在描述本公开内容的若干示例实施例之前,应理解本公开内容并不局限于在之后的说明书中所述的架构或工艺步骤的细节。本公开内容能够为其他实施例且可以各种方式实施或执行。
如本文所用的术语“约”意指大约地或接近地,且在所述的数值或范围的上下文中意指此数值的±15%或更小的变动。例如,差异±14%、±10%、±5%、±2%、或±1%的值会满足约的定义。
如在本说明书与所附权利要求中使用的,术语“基板”或“晶片”指称在其上方执行工艺的表面或表面的部分。除非在上下文中清楚地指出并非如此,否则本领域技术人员还将理解到对基板的提及可以仅指称此基板的一部分。此外,对沉积在基板上的提及可意指在裸基板和具有一个或多个膜或特征沉积或形成在其上的基板这两者上。
如本文所使用的“基板”指称任何基板或形成在基板上的材料表面,膜处理在制造工艺期间在其上执行。例如,在其上可执行处理的基板表面包括材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、以及任何其他材料,诸如金属、金属氮化物、金属合金、及其他导电材料,取决于应用。基板包括但不限于玻璃、金属或半导体晶片。基板可暴露至预处理工艺以抛光、蚀刻、还原、氧化、羟基化(或者以其他方式产生或嫁接目标化学部分以施加化学功能性)、退火和/或烘烤基板表面。除了直接在基板本身表面上的处理之外,在本公开内容中,所公开的膜处理步骤中的任何膜处理步骤还可在形成在基板上的下方层上执行,如之后更详细说明的,而术语“基板表面”意欲包括如上下文所指示的此下方层。因此,例如,在膜/层或部分的膜/层已经沉积至基板表面上的情况下,新沉积的膜/层的暴露表面成为基板表面。给定的基板表面所包括的将取决于将被沉积的材料及所使用的特定化学品。
在本说明书与所附权利要求中使用时,术语“前驱物”、“反应物”、“反应气体”等可互换地使用以指称可与基板表面反应的任何气态物种。
在一个或多个实施例中,重氢(D)有利地提供用于稳定化和增加基板上的膜的可靠性的改进方式。不欲受理论局限,料想含有重氢和/或氢的膜能够化学钝化固态基质中固定化的原子上的未满足价键。
相较于用氢的化学钝化,重氢有利地使得能够有许多原子元素的更强力且更稳定的化学钝化。例如,在固态材料和一般硅基固态材料(诸如结晶硅、多晶硅、非晶硅、氧化硅、氮化硅、及碳化硅)创造的固态界面中,相较于氢化学键合到硅原子,重氢产生更强力的化学键合到硅原子。相较于氢钝化的硅材料和界面,已经发现重氢钝化的硅材料和界面是更加电稳定且可靠的,具有更高的施加电压、更高的施加电流、在更短波长与更高强度下及在更高温度下的电磁辐射暴露。
在一个或多个实施例中,使用重氢化学钝化的材料和界面可靠性改进在其他一般材料体系中是可能的,诸如但不限于III-V和II-VI半导体:砷化镓、砷化铝、砷化铝镓、氮化镓、氮化铝、氮化铝镓、氧化锌、氧化镉、碲化镉、硒化镉、氧化镁、及III-V和II-VI半导体的氧化物和氮化物衍生物。
在一个或多个实施例中,不含有重氢或氢的起始地沉积在基板表面上的膜被改性以含有重氢和/或氢。在一个或多个实施例中,通过将不含有氢和重氢的膜暴露在具有含有重氢的化学前驱物的等离子体中,发生改性以形成含重氢膜。
在一个或多个实施例中,膜沉积在基板表面上。在一个或多个实施例中,此膜实质上无氢且实质上无重氢。如本文所用,术语“实质上无”意指此膜中有着小于5%、包括小于4%、小于3%、小于2%、小于1%、及小于0.5%的氢和重氢。
在一个或多个实施例中,实质上无氢和重氢的膜是具有以下范围中的厚度的膜:约0.5nm至约1000nm,包括约0.5nm至约800nm、约0.5nm至约700nm、约0.5nm至约600nm、约0.5nm至约500nm、约0.5至约400nm、约0.5至约300nm、及约0.5至约200nm。在一些实施例中,此膜具有的厚度小于约1000nm,包括小于约900nm、小于约800nm、小于约700nm、小于约600nm、小于约500nm、小于约400nm、小于约300nm、及小于约200nm。在一些实施例中,此膜具有的厚度为约0.5nm、约10nm、约15nm、约20nm、约25nm、约30nm、约35nm、约40nm、约45nm、约50nm、约55nm、约60nm、约65nm、约70nm、约75nm、约80nm、约85nm、约90nm、约95nm、约100nm、约150nm、约200nm、约250nm、约300nm、约350nm、约400nm、约450nm、约500nm、约550nm、约600nm、约650nm、约700nm、约750nm、约800nm、约850nm、约900nm、约950nm、或约1000nm。
在一个或多个实施例中,实质上无氢和重氢的膜包括介电质材料。如本文所用的,术语“介电质”指称可被施加的电场极化的电绝缘体材料。在一个或多个实施例中,介电质材料包括但不限于氧化物(例如SiO2、Ta2O5、Al2O3)、氮化物(例如Si3N4、和钛酸钡锶(BST))。在一个或多个实施例中,介电质材料包括二氧化硅(SiO2)。在一些实施例中,膜组成相对于理想分子式是非化学计量的。例如,在一些实施例中,介电质材料包括但不限于氧化物(例如,氧化硅、氧化钽、氧化铝)、氮化物(例如,氮化硅(SiN))、碳化物(例如,碳化硅(SiC))、碳氮化硅(SiCN)、碳氧化物(例如,碳氧化硅(SiOC))、碳氮氧化物(例如,碳氮氧化硅(SiNCO))、及钛酸钡锶(BST)。
在一个或多个实施例中,术语“高K介电质”指称具有高介电质常数(与例如二氧化硅相比较)的材料。在一个或多个实施例中,此膜包括选自HfO2、ZrO2、VO2、TiO2、SnO2、Al2O3、或ZnO中的一者或多者的高K介电质材料。在一个或多个特定实施例中,此膜包括高K介电质材料,其包括Al2O3或基本上由Al2O3构成。如本文所用,术语“基本上由…构成”意指整体膜的组成包括以重量计,所指明成分的总和为全部成分组成的95%、98%、99%或99.5%。在一些实施例中,膜是包括铝原子或基本上由铝原子构成的高K介电质材料。
图1描绘根据本公开内容的一个或多个实施例改性膜的方法10的流程图。参照图1,方法10任选地通过将基板定位进入处理腔室而在操作20处开始。
基板可为本领域技术人员所知的任何基板。在一个或多个实施例中,基板包括一种或多种半导体材料,例如,硅(Si)、氧化硅(SiO2)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、磷化铟(InP)、砷化铟镓(InGaAs)、砷化铟铝(InAlAs)、二硫化钼(MoS2)、二硒化钼(MoSe2)、二硫化钨(WS2)、二硒化钨(WSe2)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、铂(Pt)、或铱(Ir)。在一些实施例中,基板可包括间隔物、金属栅极、触点等。因此,在一个或多个实施例中,基板可包括半导体材料,包括但不限于铜(Cu)、钴(Co)、钨(W)、钛(Ti)、钼(Mo)、镍(Ni)、钌(Ru)、银(Ag)、金(Au)、铱(Ir)、铂(Pt)、磷(P)、锗(Ge)、硅(Si)、铝(Al)、锆(Zr)、碳氮化硅(SiCN)、碳氧化硅(SiOC)、氮化硅(SiN)、碳化钨(WC)、氧化钨(WO)、碳氮氧化硅(SiONC)或本领域技术人员已知的任何半导体基板材料。
在操作30处,具有沉积在其上方的膜的基板被改性以含有重氢。在一个或多个实施例中,沉积在基板上的膜实质上无氢且实质上无重氢。在一个或多个实施例中,改性此膜包括将实质上无氢和重氢的膜暴露于包括含重氢前驱物的等离子体。在一个或多个实施例中,含重氢前驱物可被脉冲或与流动气体或载气共流动进入处理腔室。在其他实施例中,含重氢前驱物在没有载气的情况下被脉冲进入处理腔室。如本文所用,术语“载气”指称流体(气体或是液体),其可将前驱物分子从一位置移动至另一位置。例如,载气可为液体,其将分子从安瓿中的固态前驱物移动至雾化器(aerosolizer)。在一些实施例中,载气是惰性气体。在一个或多个实施例中,载气是氩(Ar)、氦(He)、氙(Xe)或氮(N2)中的一者或多者。
在一个或多个实施例中,含重氢前驱物包括分子重氢(D2)、重水(D2O)、DH(重氢-氢)或HDO(重氢-氢氧化物)中的一者或多者。
在一个或多个实施例中,等离子体进一步包括氩(Ar)、氦(He)、氢(H2)、重氢(D2)、氮(N2)、氧(O2)、氨(NH3)、或三氟化氮(NF3)中的一者或多者。
在一个或多个实施例中,基板具有的温度在约20℃至800℃,包括约150℃至约450℃的范围中。在一个或多个实施例中,等离子体具有的压力在约0.1毫托至约500毫托,包括约1毫托至约100毫托的范围中。在一个或多个实施例中,等离子体具有的功率在约500瓦至约20000瓦,包括约1000瓦至约10000瓦的范围中。在一个或多个实施例中,等离子体具有的气体流率在约1sccm至约5000sccm,包括约50sccm至约1000sccm的范围中。
参照图2及图3,在一个或多个实施例中,此膜是CMOS设备的部分。在图2中,设备100包括在半导体基板102上的膜堆叠。氧化物层104沉积或形成在半导体基板102上,并且第一金属106形成在氧化物层104上的介电质层108中。第二金属层110(X)沉积在介电质层108上。层间介电质112沉积在第二金属层110上,然后在层间介电质上沉积第三金属层114(X+1)。金属后介电质层116沉积在第三金属层114上。在一个或多个实施例中,金属后介电质层116实质上无氢且实质上无重氢。
层间介电质(ILD)112可使用微电子设备制造领域中的通常知识者已知的一种或多种沉积技术沉积。在一个或多个实施例中,层间介电质(ILD)112是使用一种沉积技术(诸如但不限于ALD、CVD、PVD、MBE、MOCVD、旋涂、或本领域技术人员所知的其他绝缘层沉积技术)来沉积的。在一个或多个实施例中,层间介电质(ILD)112包括低K介电质。在一些实施例中,低K介电质可选自硅、氧化硅、氮化硅、氮氧化硅、掺杂硅、掺杂氧化硅、掺杂氮化硅、掺杂氮氧化硅、或旋涂介电质中的一者或多者。在一个或多个实施例中,层间介电质(ILD)112包括氧化硅。
参照图3,金属后介电质层116被改性以形成金属后含重氢介电质层118。在一个或多个实施例中,通过暴露于包括含重氢前驱物的等离子体,对金属后介电质层116进行改性。在一个或多个实施例中,含重氢前驱物包括分子重氢(D2)、重水(D2O)、DH(重氢-氢)或HDO(重氢-氢氧化物)中的一者或多者。在一个或多个实施例中,等离子体进一步包括氩(Ar)、氦(He)、氢(H2)、重氢(D2)、氮(N2)、氧(O2)、氨(NH3)、或氮氟化物(NF3)中的一者或多者。在一个或多个实施例中,含重氢前驱物可被脉冲或与流动气体或载气共流动进入处理腔室。在其他实施例中,含重氢前驱物在没有载气的情况下被脉冲进入处理腔室。在一些实施例中,载气是惰性气体。在一个或多个实施例中,载气是氩(Ar)、氦(He)、氙(Xe)、或氮气(N2)中的一者或多者。
如本文所用,“化学气相沉积”指称一种处理,其中基板表面同时地或实质上同时地暴露于前驱物和/或共反应物。如本文所用,“实质上同时地”指称共流动或者存在前驱物的大多数暴露的重叠。
由于成本效率与膜性质多功能性,等离子体增强化学气相沉积(PECVD)广泛地用以沉积薄膜。在PECVD工艺中,例如,烃源(诸如气相烃或已经被载气夹带的液相烃的蒸汽)被导入PECVD腔室。等离子体启动的气体还被导入腔室中。等离子体接着在腔室中启动以创造激发的CH自由基。激发的CH自由基化学键合至定位在腔室中的基板的表面,从而在基板的表面上形成期望的膜。本文关于PECVD工艺所述的实施例可使用任何合适薄膜沉积系统来实行。本文所述的任何装置说明是示例性且不应构成或解释为限制本文所述的实施例的范围。
根据一个或多个实施例的方法,包括等离子体改性处理,其中包括含重氢前驱物的等离子体用以改性实质上无氢和重氢的膜,形成具有重氢的膜。不欲受理论所局限,料想重氢掺杂起始膜。通过创造高密度的含重氢的离子自由基而使等离子体掺杂和改性工艺起效,通过热扩散或通过由于被创造穿过膜与基板的电场所施加的力的漂移,重氢从等离子体转移进入基板表面上的膜中。在等离子体掺杂和改性工艺之后,最终膜将具有一定浓度的含重氢的离子自由基且具有不同的原子总密度。
其他实施例涉及具有含重氢膜的光学设备。此类光学设备可包括太阳能板或LED。
在进一步实施例中,在固态电子设备的制造中,整合工艺流程可用以将重氢从含重氢的膜转移进入相邻或靠近的其他膜材料。例如,在互补式金属氧化物半导体(CMOS)或动态随机存取存储器(DRAM)固态设备中,金属前、金属间、或金属后介电质膜层可被沉积为含有重氢,然后可实行后热工艺或退火以将重氢传送进入内嵌的晶体管与电容器结构。
参照图4及图5,在一个或多个实施例中,在光学固态设备(诸如但不限于发光二极体(LED)或光伏太阳能电池)中,介电质薄膜层可被沉积或改性为含有重氢,然后可实行后热工艺或退火以将重氢传送进入内嵌的主动光学层。
图4示出了在退火之前的太阳能电池设备200的剖视图。在一个或多个实施例中,第一介电质层202具有形成在其上方的第二介电质层204及光学吸收层206。第三介电质层208形成在第二介电质206上,第三介电质层208含有重氢。第一介电质层202在含重氢介电质层208的顶表面上。
图5示出了在高温退火之后的太阳能电池200的剖视图,高温退火在约100℃至约700℃,包括约200℃至约450℃的温度范围中。如图所示,来自含重氢的第三介电层208的重氢转移至第二介电质层204及光学吸收层206,从而形成第四介电质层210及改性的光学吸收层212,其中介电质层210及光学吸收层212两者含有重氢。
参照图6,本公开内容的一个或多个实施例关于包括高密度等离子体(CVD)化学气相沉积(CVD)腔室300的处理腔室。例如,在一个或多个实施例中,处理腔室包括可从应用材料公司取得的UltimaTMHDP-CVD腔室。图6所示的腔室300包括顶部301、底部302和侧壁303,其围住内部305。示出的腔室包括多区域等离子体线圈,其包括顶部线圈310和侧部线圈320以使得能调整传递至腔室300的功能及增进形成在腔室内部305中的等离子体的均匀性。顶部线圈310包括圈绕天线315,并且侧部线圈320包括圈绕天线325以在内部305中产生等离子体。虽然未明确地示出,但本领域技术人员将认知到在腔室300的内部305内用以产生等离子体的部件和连接。
腔室300具有多区域气体注射器。顶部气体注射器330在腔室300的顶部301处,并且侧气体注射器340在腔室300的侧壁303处。反应气体332可穿过顶部气体注射器330或穿过侧气体注射器340同时地或分开地导入腔室的内部305。在一些实施例中,顶部气体注射器330不与侧气体注射器300同时使反应气体来流动。
在一个或多个实施例中,处理腔室是化学气相沉积腔室或膜改性腔室,其具有以硬件性能设计的感应高密度等离子体源(30kHz至30GHz),以调整在基板上沉积或改性的膜中的重氢浓度均匀性与氢浓度均匀性。通过改变至顶部圈绕天线与侧圈绕天线的分别功率或通过改变至顶部气体注射器与侧气体注射器的分别气体与前驱物流动,一个实施例使得能调整遍布基板表面的自由基离子等离子体密度。
腔室300包括基座400以在处理期间支撑基板360。图7示出了根据本公开内容的一个或多个实施例的多区域基座400。在一个或多个实施例中,处理腔室包括多区域基座,用以调整温度与沉积均匀性及在基板上沉积或改性的膜中的重氢和氢浓度均匀性。示出的基座400具有分隔成内环402与外环404的八个区域411a、411b、412a、412b、413a、413b、414a、414b。各个外区域411a、412a、413a、414a围绕基座的中央成角度地间隔开,且各个内区域411b、412b、413b、414b围绕基座的中央与内区域成角度地间隔开。
在所示的实施例中,内环402与外环404各具有四个区域。在一些实施例中,有多于或少于四个区域。在一些实施例中,内环402与外环404各自独立地包括一、二、三、四、五、六、七、八、九、十、十一、或十二个区域。
内环402及外环404相对于基座的中央是径向间隔开的环。术语“径向间隔开”意指环的最内部边缘位在离基座的中央不同径向距离。在所示的实施例中,通过内环402和外环404而制造有两个径向区域。在一些实施例中,有一、三、四、五、六、七、或八个径向区域。径向区域中的每一者可被独立地控制。
在一些实施例中,如图所示,内部区域与外部区域对齐(在角度上)。在一些实施例中,内部区域从外部区域偏移。
在其他实施例中,处理腔室包括具有等离子体功率控制的独立的多区域等离子体线圈,用以调整沉积腔室内的等离子体密度均匀性,与基板上沉积或改性的膜的重氢和氢浓度均匀性。
在进一步实施例中,处理腔室包括具有独立区域温度控制的多区域基板基座,用以调整基板温度均匀性,以及基板上沉积或改性的膜的重氢和氢浓度均匀性。
在一个或多个实施例中,基板定位在多区域基座上,每个区域具有独立温度控制。在一个或多个实施例中,多区域基座具有至少两个区域。在一些实施例中,多区域基座包括内区域和外区域。在其他实施例中,多区域基座具有径向间隔开的区域。在又进一步实施例中,多区域基座包括内区域与外区域,并且内区域和外区域中的每个包括径向间隔开的区域。
在一个或多个实施例中,相较于较低温区域,较多的重氢在较高温度区并入改性膜中。
一个或多个实施例涉及一种装置,包括腔室主体,其包括界定等离子体空腔的顶部和至少一个侧壁;第一气体入口,位在腔室主体的顶部中央,第一气体入口被配置用于传送包括含重氢前驱物的等离子体的流动至等离子体空腔;第二气体入口,位在腔室主体的至少一个侧壁中,第二气体入口被配置为传送包括含重氢前驱物的等离子体的流动至等离子体空腔;具有天线的顶部线圈,在腔室主体的顶部中;至少一个侧部线圈,在腔室主体的侧部中;以及多区域基座,各个区域具有独立温度控制。
其他实施例关于一种方法,包括改性固态电子设备,所述固态电子设备包括至少一个含重氢内嵌层,热退火固态电子设备以将重氢转移进入一个或多个相邻层,相邻层实质上无重氢。
在其他实施例中,通过使用化学前驱物与惰性气体的化学气相沉积(CVD)或原子层沉积(ALD)来沉积含重氢和硅的膜。在一个或多个实施例中,通过将重氢导入膜,此沉积方法钝化悬空硅键合。例如,在一个或多个实施例中,包括但不限于SiHYDZ、SiOX1HYDZ、SiNX2HYDZ、SiCX3HYDZ、SiOX1NX2CX3HYD的膜沉积在基板表面上。在一个或多个实施例中,含重氢前驱物包括D2、D2O、SiD4、Si2D6中的一者或多者。在一个或多个实施例中,含重氢前驱物可与选自以下物种中的一者或多者组合或共流动:SiH4、Si2H6、SiCl4、SiHCl3、SiH2Cl2、SiHCl3、Si2Cl6、SiF4、SiBr4、TEOS、TDMAS、BTBAS、BDEAS等。在一个或多个实施例中,含重氢前驱物可与氧(O2)、臭氧(O3)、或水(H2O)中的一者或多者组合或共流动。在一个或多个实施例中,含重氢前驱物可与氮(N2)或氨NH3中的一者或多者组合或共流动以形成含重氢氮化物膜。在一个或多个实施例中,含重氢前驱物可与甲烷(CH4)或乙烷(C2H2)的一者或多者组合或共流动以形成含重氢碳膜。在一个或多个实施例中,含重氢前驱物可与氢(H2)组合或共流动。在一个或多个实施例中,含重氢前驱物可与一个或多个惰性气体组合或共流动,惰性气体包括但不限于氩(Ar)或氦(He),以形成含重氢膜。在一个或多个实施例中,沉积工艺可包括热源、等离子体源、和/或光源,以活化前驱物并控制化学反应速率。
如本文所用的“原子层沉积”或“循环沉积”指称两种或更多的反应性前驱物的相继暴露以在基板表面上沉积材料层。基板或部分的基板分开地暴露于被导入处理腔室的反应区域的两种或更多种反应性前驱物。在时域ALD工艺中,通过时间延迟来区分暴露至各反应性化合物,以允许各化合物在基板表面上吸附和/或反应,然后从处理腔室排净。所述反应性化合物被表明为相继地暴露至基板。在空间ALD工艺中,基板表面或基板表面上的材料的不同部分同时地暴露至两种或更多种反应性化合物,使得基板上的任何给定点实质上同时地不暴露至多于一种反应性化合物。
在一个或多个实施例中,通过改变包括前驱物流率、基板温度、腔室压力、与等离子体功率/密度的沉积工艺参数,可控制并调整基板上的沉积膜中的重氢浓度。例如,在一个或多个实施例中,化学气相沉积工艺具有感应高密度等离子体,通过含重氢前驱物的流率可控制硅基板上的SiOXHYDZ膜中的重氢的原子浓度。
诸如“之下”、“下方”、“下部”、“上方”、“上部”等空间性相对术语可在本文中用以简化说明书以说明如附图所示的一个元件或特征相对于另一元件或特征的关系。应理解空间性相对术语意于涵盖除了附图中所描绘的定向之外的使用或操作中的设备的不同定向。例如,若附图中的设备被翻转,被描述为在其他元件或特征“下方”或“之下”的元件会接着被定向为在其他元件或特征“上方”。因此,示例术语“下方”可涵盖上方和下方两者的定向。设备可被另外定向(旋转90度或其他定向)且在本文使用的空间性相对描述还被相应地解释。
在说明本文(尤其是在所附权利要求的上下文中)中讨论的材料与方法的上下文中的术语“一(a)”及“一(an)”和“所述”等指示语的使用是用以建构以涵盖单数与复数两者,除非在本文所指示并非如此或清楚地与文章相抵触。本文的数值的范围的列举仅意欲作为个别地参照落在此范围内的各分开数值的速记方法,除非在本文中另外指明,并且各分开数值被并入本说明书如同其个别地在本文中列举。本文所述的所有方法可以任何合适次序执行,除非在本文所指示并非如此或清楚地与文章相抵触。本文提供的任何与所有示例或示例语言(例如,“诸如”)的使用仅意欲较佳地阐明材料与方法且不施加对范围的限制,除非另外声明。本说明书中没有语言应被视作指示了任何非主张的元件对于所公开的材料与方法的实施是必要的。
在贯穿本说明书中对“一个实施例(one embodiment)”、“某些实施例”、“一个或多个实施例”、或“一实施例(an embodiment)”的提及意指结合此实施例说明的特定特征、结构、材料或特性被包括在本公开内容的至少一个实施例中。因此,在贯穿本说明书中的各种地方出现的诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中(in oneembodiment)”、或“在一实施例中(in an embodiment)”的用语不必然指称本公开内容的同一实施例。在一个或多个实施例中,特定特征、结构、材料、或特性以任何合适方式组合。
虽然本公开内容在此已参照特定实施例被说明,但是应理解所述实施例仅为本公开内容的原理与应用的说明。在不背离本公开内容的精神与范围的情况下,对于本领域技术人员而言明显的是可对本公开内容的方法和装置进行各种修改和变化。因此,意欲本公开内容包括在所附权利要求及其等效物的范围内的修改和变化。

Claims (20)

1.一种方法,所述方法包括改性具有膜的基板,所述膜沉积在所述基板上,所述膜实质上无氢和重氢,改性所述膜以含有重氢。
2.如权利要求1所述的方法,其中改性所述膜包括将所述膜暴露于包括含重氢前驱物的等离子体。
3.如权利要求2所述的方法,其中所述含重氢前驱物包括D2、D2O、DH(重氢-氢)或HDO(重氢-氢氧化物)中的一者或多者。
4.如权利要求2所述的方法,其中所述等离子体进一步包括氩(Ar)、氦(He)、氢(H2)、氮(N2)、氧(O2)、氨(NH3)或氮氟化物(NF3)中的一者或多者。
5.如权利要求2所述的方法,其中所述膜具有在约20℃至约800℃的范围中的温度。
6.如权利要求2所述的方法,其中所述等离子体具有在约0.1毫托至约500毫托的范围中的压力。
7.如权利要求2所述的方法,其中所述等离子体具有在约500瓦至约20000瓦的范围中的功率。
8.如权利要求2所述的方法,其中所述等离子体具有在约1sccm至约5000sccm的范围中的气体流率。
9.如权利要求1所述的方法,其中所述膜是CMOS设备的部分。
10.如权利要求1所述的方法,其中所述膜是光学固态设备的部分。
11.如权利要求1所述的方法,其中所述基板定位在多区域基座上,每个区域具有独立温度控制。
12.如权利要求11所述的方法,其中所述多区域基座具有至少两个区域。
13.如权利要求12所述的方法,其中所述多区域基座包括内区域和外区域。
14.如权利要求12所述的方法,其中所述多区域基座具有多个径向间隔开的区域。
15.如权利要求12所述的方法,其中所述多区域基座包括内区域和外区域,并且所述内区域和所述外区域中的每一者包括多个径向间隔开的区域。
16.如权利要求11所述的方法,其中相较于在较低温区域中,较多的重氢在较高温区域中并入所述膜。
17.一种方法,所述方法包括将具有沉积在基板上的实质上无氢和重氢的膜的所述基板暴露于包括含重氢前驱物的等离子体,以在所述基板上形成改性的含重氢膜。
18.如权利要求17所述的方法,其中所述含重氢前驱物包括D2、D2O、DH(重氢-氢)或HDO(重氢-氢氧化物)中的一者或多者。
19.如权利要求17所述的方法,其中所述等离子体进一步包括氩(Ar)、氦(He)、氢(H2)、氮(N2)、氧(O2)、氨(NH3)或氮氟化物(NF3)中的一者或多者。
20.一种装置,包括:
腔室主体,包括顶部和至少一个侧壁,所述顶部和所述至少一个侧壁界定等离子体空腔;
第一气体入口,所述第一气体入口位于所述腔室主体的所述顶部中央,所述第一气体入口被配置用于将包括含重氢前驱物的等离子体的流动传送至所述等离子体空腔;
第二气体入口,所述第二气体入口位于所述腔室主体的所述至少一个侧壁中,所述第二气体入口被配置为将包括所述重氢前驱物的所述等离子体的流动传送至所述等离子体空腔;
顶部线圈,所述顶部线圈在所述腔室主体的顶部中并且具有多个天线;
至少一个侧部线圈,所述至少一个侧部线圈在所述腔室主体的侧部中;以及
多区域基座,各个区域具有独立温度控制。
CN202080044314.5A 2019-06-17 2020-06-16 含重氢的膜 Pending CN114040992A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962862426P 2019-06-17 2019-06-17
US62/862,426 2019-06-17
US16/900,181 2020-06-12
US16/900,181 US11508584B2 (en) 2019-06-17 2020-06-12 Deuterium-containing films
PCT/US2020/037849 WO2020257144A1 (en) 2019-06-17 2020-06-16 Deuterium-containing films

Publications (1)

Publication Number Publication Date
CN114040992A true CN114040992A (zh) 2022-02-11

Family

ID=73745667

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080044314.5A Pending CN114040992A (zh) 2019-06-17 2020-06-16 含重氢的膜

Country Status (6)

Country Link
US (2) US11508584B2 (zh)
JP (1) JP2022537175A (zh)
KR (1) KR20220024562A (zh)
CN (1) CN114040992A (zh)
TW (1) TW202104644A (zh)
WO (1) WO2020257144A1 (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000065631A2 (en) * 1999-04-22 2000-11-02 Applied Materials, Inc. Apparatus and method for exposing a substrate to plasma radicals
JP2002118252A (ja) * 2000-10-06 2002-04-19 Fujitsu Ltd 半導体装置の製造方法
US20090104741A1 (en) * 2007-10-18 2009-04-23 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices using a plasma process with non-silane gas including deuterium
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN103262223A (zh) * 2010-12-09 2013-08-21 德克萨斯仪器股份有限公司 集成电路的氢钝化
US20130284370A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Independent control of rf phases of separate coils of an inductively coupled plasma reactor
CN104471701A (zh) * 2012-07-18 2015-03-25 应用材料公司 具有多区温度控制及多重净化能力的基座
CN107591370A (zh) * 2016-07-07 2018-01-16 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN107710386A (zh) * 2015-06-05 2018-02-16 应用材料公司 工艺腔室

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872387A (en) 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US6077791A (en) 1996-12-16 2000-06-20 Motorola Inc. Method of forming passivation layers using deuterium containing reaction gases
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6023093A (en) 1997-04-28 2000-02-08 Lucent Technologies Inc. Deuterated direlectric and polysilicon film-based semiconductor devices and method of manufacture thereof
US5972765A (en) 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
JP4091265B2 (ja) 2001-03-30 2008-05-28 株式会社東芝 半導体装置及びその製造方法
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
JP3938112B2 (ja) * 2002-11-29 2007-06-27 セイコーエプソン株式会社 電気光学装置並びに電子機器
KR100482372B1 (ko) 2002-12-03 2005-04-14 삼성전자주식회사 반도체 소자의 게이트 산화막 형성방법
US6943126B1 (en) 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
JP2005045203A (ja) 2003-07-10 2005-02-17 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7056833B2 (en) 2003-09-23 2006-06-06 Micron Technology, Inc. Methods of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
JP2005260177A (ja) * 2004-03-15 2005-09-22 Toshiba Corp 半導体装置の製造方法
US7087507B2 (en) * 2004-05-17 2006-08-08 Pdf Solutions, Inc. Implantation of deuterium in MOS and DRAM devices
JP4708998B2 (ja) 2005-12-22 2011-06-22 キヤノン株式会社 パターニング方法、電気光学装置の製造方法、カラーフィルターの製造方法、発光体の製造方法、並びに薄膜トランジスタの製造方法
KR20110020533A (ko) 2009-08-24 2011-03-03 삼성전자주식회사 재기입가능한 3차원 반도체 메모리 장치의 제조 방법
KR101623547B1 (ko) 2009-12-15 2016-05-23 삼성전자주식회사 재기입가능한 3차원 반도체 메모리 장치의 제조 방법
KR101715861B1 (ko) 2010-12-02 2017-03-14 삼성전자주식회사 중수소 어닐링을 이용한 반도체 소자 형성방법
KR102424963B1 (ko) 2015-07-30 2022-07-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR102421728B1 (ko) 2015-09-10 2022-07-18 삼성전자주식회사 메모리 장치 및 그 제조 방법
WO2017048275A1 (en) 2015-09-18 2017-03-23 Intel Corporation Deuterium-based passivation of non-planar transistor interfaces

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000065631A2 (en) * 1999-04-22 2000-11-02 Applied Materials, Inc. Apparatus and method for exposing a substrate to plasma radicals
JP2002118252A (ja) * 2000-10-06 2002-04-19 Fujitsu Ltd 半導体装置の製造方法
US20090104741A1 (en) * 2007-10-18 2009-04-23 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices using a plasma process with non-silane gas including deuterium
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN103262223A (zh) * 2010-12-09 2013-08-21 德克萨斯仪器股份有限公司 集成电路的氢钝化
US20130284370A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Independent control of rf phases of separate coils of an inductively coupled plasma reactor
CN104471701A (zh) * 2012-07-18 2015-03-25 应用材料公司 具有多区温度控制及多重净化能力的基座
CN107710386A (zh) * 2015-06-05 2018-02-16 应用材料公司 工艺腔室
CN107591370A (zh) * 2016-07-07 2018-01-16 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
US11508584B2 (en) 2022-11-22
US20200395218A1 (en) 2020-12-17
KR20220024562A (ko) 2022-03-03
TW202104644A (zh) 2021-02-01
WO2020257144A1 (en) 2020-12-24
US20230037450A1 (en) 2023-02-09
JP2022537175A (ja) 2022-08-24

Similar Documents

Publication Publication Date Title
KR102562919B1 (ko) 고 체적 제조 애플리케이션들을 위한 cvd 기반 금속/반도체 오믹 컨택트
KR101716655B1 (ko) 확산 방지 코팅된 기판상에 형성된 반도체 장치 및 그 제조방법
US9472637B2 (en) Semiconductor device having electrode made of high work function material and method of manufacturing the same
US20060153995A1 (en) Method for fabricating a dielectric stack
US7825043B2 (en) Method for fabricating capacitor in semiconductor device
KR101043530B1 (ko) 실리콘 및 금속 나노크리스탈 핵생성을 위한 플라즈마 표면처리
WO2011153095A2 (en) Metal gate structures and methods for forming thereof
CN102306627A (zh) 成膜方法和成膜装置
US11646199B2 (en) Sub-stoichiometric metal-oxide thin films
US20210175075A1 (en) Oxygen radical assisted dielectric film densification
US20190393029A1 (en) Tunability Of Dopant Concentration In Thin Hafnium Oxide Films
US10727310B2 (en) Contact formation on germanium-containing substrates using hydrogenated silicon
US10541151B1 (en) Disposable laser/flash anneal absorber for embedded neuromorphic memory device fabrication
Dingemans et al. Merits of batch ALD
KR20140100948A (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
CN106504991B (zh) 用于制造半导体应用的水平全环栅极器件的纳米线的方法
US6583057B1 (en) Method of forming a semiconductor device having a layer deposited by varying flow of reactants
CN112542460A (zh) 具有减少的边缘缺陷的铁电存储器件及其制造方法
CN114040992A (zh) 含重氢的膜
KR20200130469A (ko) 하프늄 나이트라이드 층들을 갖는 하프늄 옥사이드의 강유전체 (ferroelectric) 속성들 개질
CN107689393B (zh) 一种半导体器件及其制造方法
US8748260B2 (en) Method for manufacturing nano-crystalline silicon material for semiconductor integrated circuits
US20120122320A1 (en) Method Of Processing Low K Dielectric Films
KR20040088895A (ko) 반도체 소자의 커패시터 및 그 제조방법
KR20060024152A (ko) 반도체 소자의 캐패시터 형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination