CN111490012A - 半导体装置结构及其形成方法 - Google Patents

半导体装置结构及其形成方法 Download PDF

Info

Publication number
CN111490012A
CN111490012A CN202010074227.6A CN202010074227A CN111490012A CN 111490012 A CN111490012 A CN 111490012A CN 202010074227 A CN202010074227 A CN 202010074227A CN 111490012 A CN111490012 A CN 111490012A
Authority
CN
China
Prior art keywords
layer
fin structure
dummy
fin
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010074227.6A
Other languages
English (en)
Other versions
CN111490012B (zh
Inventor
江国诚
林志昌
潘冠廷
王志豪
朱熙甯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111490012A publication Critical patent/CN111490012A/zh
Application granted granted Critical
Publication of CN111490012B publication Critical patent/CN111490012B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

本公开提供一种半导体装置结构及其形成方法,形成方法包括形成衬层于第一鳍结构及第二鳍结构的侧壁上;形成虚置鳍结构于隔离结构上,虚置鳍结构位于第一鳍结构及第二鳍结构之间;形成盖层于虚置鳍结构上;形成虚置栅极结构于盖层、第一鳍结构及第二鳍结构上;形成介电层包围虚置栅极结构;移除虚置栅极结构以于介电层中形成沟槽;移除沟槽下的衬层以形成第一凹槽于第一鳍结构及虚置鳍结构之间,及第二凹槽于第二鳍结构及虚置鳍结构之间;分别形成第一栅极结构于第一凹槽中及第二栅极结构于第二凹槽中,以虚置鳍结构及盖层分隔第一栅极结构及第二栅极结构。

Description

半导体装置结构及其形成方法
技术领域
本发明实施例涉及一种半导体装置的形成方法,且特别涉及一种自对准切割金属栅极的方法。
背景技术
半导体元件使用于各种电子应用之中,例如个人电脑、行动电话、数位相机及其他电子设备。半导体元件通常以依序沉积绝缘或介电层、导电层、以及半导体层材料于半导体基板之上制造,并使用光刻图案化各材料层以在其上形成电路组件和零件。许多集成电路一般于单一半导体晶片上制造,且以沿着切割道在集成电路之间锯开切割晶片上的个别晶粒。个别晶粒通常以例如多晶片模组,或者以其他封装类型分别封装。
当半导体工业为了追求更高的装置密度、更高的效能、及更低的成本而进展进入纳米科技工艺节点时,来自制造及设计的挑战导致三维设计的发展。
虽然现有的半导体装置对于原目的来说已经足够,其并非在各个面向皆令人满意。
发明内容
本发明实施例包括一种半导体装置结构的形成方法,包括:形成第一鳍状结构及第二鳍状结构延伸于隔离结构之上;形成衬层于第一鳍状结构的侧壁表面及第二鳍状结构的侧壁表面之上;形成虚置鳍状结构于隔离结构之上,其中虚置鳍状结构位于第一鳍状结构及第二鳍状结构之间;形成盖层于虚置鳍状结构之上;形成虚置栅极结构于盖层、第一鳍状结构及第二鳍状结构之上;形成介电层包围虚置栅极结构;移除虚置栅极结构以于介电层中形成沟槽;移除沟槽之下的衬层以形成第一凹槽于第一鳍状结构及虚置鳍状结构之间,以及第二凹槽于第二鳍状结构及虚置鳍状结构之间;以及分别形成第一栅极结构于第一凹槽中及第二栅极结构于第二凹槽中,其中以虚置鳍状结构及盖层分隔第一栅极结构及第二栅极结构。
本发明实施例亦包括一种半导体装置结构的形成方法,包括:形成第一鳍状结构及第二鳍状结构于基板之上,其中第一鳍状结构包括相互堆迭的第一半导体层及第二半导体层;形成隔离结构于基板之上,其中第一鳍状结构的顶部及第二鳍状结构的顶部延伸于隔离结构之上,且沟槽位于第一鳍状结构及第二鳍状结构之间;形成第一衬层于沟槽的部分之中;形成虚置鳍状结构于沟槽的另一部分之中,其中第一衬层位于虚置鳍状结构及第一鳍状结构之间;形成盖层于虚置鳍状结构之上;形成虚置栅极结构于盖层、第一鳍状结构、及第二鳍状结构之上;移除盖层的部分、第一衬层的部分、及第一鳍状结构的部分、及第二鳍状结构的部分以形成源极/汲极凹槽;形成源极/汲极结构于源极/汲极凹槽之中;形成介电层包围虚置栅极结构且位于源极/汲极结构之上;移除虚置栅极结构以形成沟槽于介电层之中;移除第一半导体层的部分以形成间隙;以及形成栅极结构于间隙之中,其中盖层的顶表面高于栅极结构的顶表面。
本发明实施例还包括一种半导体装置结构,包括:隔离结构,形成于基板之上;第一鳍状结构及一第二鳍状结构,延伸于隔离结构之上;虚置鳍状结构,形成于隔离结构之上,其中虚置鳍状结构位于第一鳍状结构及第二鳍状结构之间;盖层,形成于虚置鳍状结构之上,其中盖层的顶表面高于第一鳍状结构的顶表面以及第二鳍状结构的顶表面;第一栅极结构,形成于第一鳍状结构之上;以及第二栅极结构,形成于第二鳍状结构之上,其中第一栅极结构及第二栅极结构以虚置鳍状结构及盖层相隔。
附图说明
以下将配合所附附图详述本发明实施例。应注意的是,各种特征部件并未按照比例绘制且仅用以说明例示。事实上,元件的尺寸可能经放大或缩小,以清楚地表现出本发明实施例的技术特征。
图1A-图1K是根据一些实施例绘示出形成半导体装置结构的各阶段透视图。
图2A-图2E是根据一些实施例绘示出在图1K之后形成半导体装置结构的各阶段剖面图。
图3是根据一些实施例绘示出图1K中的半导体装置结构沿线BB’的剖面图。
图4是根据一些实施例绘示出半导体装置结构的俯视图。
图5A是根据一些实施例绘示出半导体装置结构的透视图。
图5B是根据一些实施例绘示出图5A中的半导体装置结构沿线BB’的剖面图。
图5C是根据一些实施例绘示出图5A中半导体装置结构沿线CC’的剖面图。
图5D是根据一些实施例绘示出图5A中的半导体装置结构沿线DD’的剖面图。
图6A-图6B是根据一些实施例绘示出形成半导体装置结构的各阶段透视图。
图7A-图7I是根据一些实施例绘示出形成半导体装置结构的各阶段透视图。
图8A-图8E是根据一些实施例绘示出图7I之后形成半导体装置结构的各阶段剖面图。
附图标记说明如下:
100a,100b,100c,100d:半导体装置结构
102:基板
104:第一半导体层
106:第二半导体层
108:第一硬掩模层
110:鳍状结构
110a:第一鳍状结构
110b:第二鳍状结构
110c:第三鳍状结构
110d:第四鳍状结构
112:籽晶层
113:绝缘材料
114:隔离结构
115:沟槽
116:第一衬层
117:第二衬层
118:虚置鳍状结构
119:填充层
120:盖层
121:蚀刻停止层
122:虚置栅极结构
126:第二掩模层
128:第三掩模层
130:栅极间隔物层
131:源极/汲极凹槽
135:空腔
136:内部间隔物层
138:源极/汲极结构
140:接点蚀刻停止层
142:层间介电层
144:第四掩模层
146:第五掩模层
147:沟槽
149:凹槽
151:间隙
152:界面层
154:栅极介电层
156:栅极电极层
160:栅极结构
160a:第一栅极结构
160b:第二栅极结构
162:导电层
164:牺牲层
172:硅化物层
174:源极/汲极接点结构
P1:第一节距
P2:第二节距
P3:第三节距
W1:第一宽度
W2:第二宽度
H1:第一高度
H2:第二高度
AA’,BB’,CC’,DD’,EE’:线
D1:第一距离
具体实施方式
以下的公开内容提供许多不同的实施例或范例以实施本案的不同特征。以下的公开内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。例如,若是本发明实施例叙述了一第一特征部件形成于一第二特征部件之上或上方,即表示其可能包含上述第一特征部件与上述第二特征部件是直接接触的实施例,亦可能包含了有附加特征部件形成于上述第一特征部件与上述第二特征部件之间,而使上述第一特征部件与第二特征部件可能未直接接触的实施例。此外,本发明实施例可在各范例重复使用标号及/或文字。这样的重复是为了简洁及清楚起见,而并非表示所讨论的各实施例及/或形态之间的关系。
描述了一些本发明实施例。透过各视图及所述的实施例,相似的元件可使用相似的标号表示。可在这些实施例所述的阶段之前、之中、及/或之后提供额外的操作。不同的实施例可取代或删除所述的一些阶段。
可以任何适合的方法图案化下述的环绕栅极(gate all around,GAA)晶体管结构。例如,使用一或多种光刻工艺,包括双重图案化工艺或多重图案化工艺。一般而言,双重图案化或多重图案化工艺组合了光刻及自对准工艺,允许创造具有例如相较于使用单一直接光刻工艺可得的更小节距的图案。例如,在一实施例中,形成牺牲层于基板之上并使用光刻工艺图案化。使用自对准工艺沿着图案化的牺牲层形成间隔物。接着移除牺牲层,且余留的间隔物可用以图案化环绕栅极结构。
提供了形成半导体元件结构的实施例。根据一些实施例,图1A-1K绘示出形成半导体装置结构100a的各阶段透视图。半导体装置结构100a为环绕栅极晶体管结构。提供了自对准切割金属栅极工艺。形成虚置鳍状结构于隔离结构之上,且形成盖层于虚置鳍状结构之上。虚置鳍状结构及盖层建构了阻障结构以分隔两栅极结构。
参见图1A,提供了基板102。基板102可以硅或其他半导体材料制成。替代地或附加地,基板102可包括其他元素半导体材料例如锗。在一些实施例中,基板102以化合物半导体例如碳化硅(silicon carbide)、砷化镓(gallium arsenic)、砷化铟(indium arsenic)、或磷化铟(indium phosphide)制成。在一些实施例中,基板102以合金半导体例如硅锗(silicon germanium)、硅锗碳(silicon germanium carbide)、镓砷磷(gallium arsenicphosphide)、或镓铟磷(gallium indium phosphide)制成。在一些实施例中,基板102包括外延层。例如,基板102具有位于块材半导体上的外延层。
依序交替形成多个第一半导体层104及多个第二半导体层106于基板102之上。垂直堆叠半导体层104及106以形成堆叠线结构。
在一些实施例中,第一半导体层104及第二半导体层106独自包括硅(silicon,Si)、锗(germanium,Ge)、硅锗(Si1-xGex,0.1<x<0.7,x值为硅锗中锗的原子百分比)、铟砷(indium arsenide,InAs)、铟镓砷(indium gallium arsenide,InGaAs)、铟锑(indiumantimonide,InSb)、或其他可用的材料。在一些实施例中,第一半导体层104及第二半导体层106以不同材料制成。
第一半导体层104及第二半导体层106以具有不同晶格常数的不同材料制成。在一些实施例中,第一半导体层104以硅锗(Si1-xGex,0.1<x<0.7)制成,以及第二半导体层106以硅制成。在一些其他实施例中,第一半导体层104以硅锗(Si1-xGex,0.1<x<0.7)制成,以及第二半导体层106以锗制成。
在一些实施例中,以选择性外延成长(selective epitaxial growth,SEG)工艺、化学气相沉积(chemical vapor deposition,CVD)工艺(例如低压化学气相沉积(low-pressure CVD,LPCVD)、电浆增强化学气相沉积(plasma enhanced CVD,PECVD)、分子外延工艺、或其他可用的工艺形成第一半导体层104及第二半导体层106。在一些实施例中,在相同的腔室中原位形成第一半导体层104及第二半导体层106。
在一些实施例中,每一第一半导体层104的厚度介于约1.5纳米(nm)至约20nm之间。用语例如“约”与特定距离或尺寸结合可诠释为不排除与特定距离或尺寸的微小偏差且可包括例如高达20%的偏差。在一些实施例中,第一半导体层104厚度大抵上均匀。在一些实施例中,每一第二半导体层106的厚度介于约1.5nm至约20nm之间。在一些实施例中,第二半导体层106厚度大抵上均匀。
接着,多个第一硬掩模层108形成于第一半导体层104之上。在一些实施例中,每一第一硬掩模层108以氮化硅(silicon nitride)、碳氮化硅(silicon carbon nitride,SiCN)、或其他合适的材料制成。在一些实施例中,以沉积工艺例如低压化学气相沉积工艺、电浆增强化学气相沉积工艺、或其他沉积工艺形成第一硬掩模层108。
接着,根据一些实施例,如图1B所绘示,形成了多个鳍状结构110。鳍状结构110包括第一鳍状结构110a及第二鳍状结构110b。
首先图案化第一硬掩模层108。接着,使用图案化的第一硬掩模层108作为掩模图案化第一半导体层104及第二半导体层106。对第一半导体层104及第二半导体层106进行图案化工艺以形成鳍状结构110。图案化工艺包括光刻工艺及蚀刻工艺。光刻工艺包括光阻涂布(例如旋转涂布)、软烘烤、掩模对准、曝光、曝光后烘烤、显影光阻、清洗及干燥(例如硬烘烤)。蚀刻工艺包括干蚀刻工艺或湿蚀刻工艺。
如图1B所绘示,第一节距P1位于两邻近鳍状结构110之间。在一些实施例中,第一节距P1介于约20nm至约50nm之间。
接着,根据一些实施例,如图1C所绘示,形成籽晶层112于第一鳍状结构110a的侧壁表面上、第二鳍状结构110b的侧壁表面上、及第一硬掩模层108之上。之后,形成绝缘材料113于基板102之上及鳍状结构110之上。
籽晶层112以硅、氧化硅、氮化硅、或上述的组合制成。在一些实施例中,籽晶层112包括双层结构,例如硅层及形成于硅层上的氧化硅层。在一些实施例中,使用热氧化工艺、化学气相沉积工艺、原子层沉积(atomic layer deposition,ALD)工艺、其他合适的工艺、或上述的组合形成籽晶层112。
在一些实施例中,以氧化硅、氮化硅、氮氧化硅(silicon oxynitride,SiON)、其他合适的绝缘材料、或上述的组合制成绝缘材料113。在一些实施例中,以低压化学气相沉积工艺、电浆增强化学气相沉积工艺、高密度电浆化学气相沉积(high density plasma CVD,HDP-CVD)工艺、高选择比(high aspect ratio process,HARP)工艺、可流动化学气相沉积(flowable CVD,FCVD)工艺、原子层沉积工艺、其他合适的工艺、或上述的组合形成绝缘材料113。
接着,根据一些实施例,如图1D所绘示,移除绝缘材料113的一部分以形成隔离结构114。结果是,鳍状结构110的顶部在隔离结构114之上。沟槽115位于两邻近鳍状结构110之间。第一鳍状结构110a及第二鳍状结构110b延伸于隔离结构114之上。
之后,形成第一衬层116于第一鳍状结构110a的侧壁表面上、第二鳍状结构110b的侧壁表面上及第一硬掩模层108的侧壁表面及顶表面之上。应注意的是,第一衬层116选择性地形成于籽晶层112之上,而不形成于隔离结构114之上。在一些实施例中,籽晶层112以硅制成,且第一衬层116以硅锗制成。沟槽115并未以第一衬层116完全填充。更具体而言,第一衬层116形成于沟槽115的相对侧壁表面上。
接着,根据一些实施例,如图1E所绘示,形成虚置鳍状结构118于沟槽115之中。在一些实施例中,虚置鳍状结构118及隔离结构114以不同材料制成,且因此介面位于虚置鳍状结构118及隔离结构114之间。第一衬层116位于虚置鳍状结构118及第一鳍状结构110a之间。
形成虚置鳍状材料于隔离结构114、鳍状结构110、第一衬层116及第一硬掩模层108之上,且接着移除部分的虚置鳍状材料以形成虚置鳍状结构118。形成虚置鳍状结构118于隔离结构114之上且以第一衬层116包围。形成虚置鳍状结构118于第一鳍状结构110a及第二鳍状结构110b之间。在一些实施例中,以移除工艺例如回蚀工艺、化学机械研磨(chemical mechanical polishing,CMP)或上述的组合移除部分虚置鳍状材料。
在移除部分虚置鳍状材料之后,形成凹槽(未绘示)于虚置鳍状结构118的顶表面之上。接着,形成盖层120于虚置鳍状结构118、第一衬层116及第一硬掩模层108之上。接着,移除部分盖层120以露出第一硬掩模层108的顶表面以及第一衬层116的顶表面。在一些实施例中,以平坦化工艺例如化学机械研磨工艺移除部分盖层120。
在一些实施例中,以具有小于7(<7)的k值的低介电常数(low-k)介电材料例如氧化硅、氮化硅、氮氧化硅、氮氧化硅碳(silicon carbon oxynitride,SiCON)、其他可用的绝缘材料、或上述的组合制成虚置鳍状结构118。在一些实施例中,以低压化学气相沉积工艺、电浆增强化学气相沉积工艺、高密度电浆化学气相沉积工艺、高选择比工艺、可流动化学气相沉积工艺、原子层沉积工艺、其他合适的方法,或上述的组合形成虚置鳍状结构118。
在一些实施例中,以具有大于7(>7)的k值的高介电常数(high-k)介电材料制成盖层120。高介电常数介电材料可包括氧化铪(hafnium oxide,HfO2)、氧化锆(zirconiumoxide,ZrO2)、氧化铝(aluminum oxide,Al2O3)、氧化铪铝(hafnium alumina oxide,HfAlOx)、氧化铪硅(hafnium silicon oxide,HfSiOx)、氮氧化铪硅(hafnium siliconoxynitride)、氧化铪钽(hafnium tantalum oxide,HfTaOx)、氧化铪钛(hafnium titaniumoxide,HfTiOx)、氧化铪锆(hafnium zirconium oxide,HfZrOx)、或其相似物。在一些实施例中,以低压化学气相沉积工艺、电浆增强化学气相沉积工艺、高密度电浆化学气相沉积工艺、高选择比工艺、可流动化学气相沉积工艺、原子层沉积工艺、其他合适的方法,或上述的组合形成盖层120。
如图1E所绘示,在水平方向隔离结构114具有第一宽度W1,在水平方向虚置鳍状结构118具有第二宽度W2。第二宽度W2小于第一宽度W1。在一些实施例中,在垂直方向虚置鳍状结构118具有第一高度H1。盖层120在垂直方向测得具有第二高度H2。在一些实施例中,第二高度H2在介于约10nm至约30nm的范围。在一些实施例中,第二高度H2与第一高度H1的比例在约0.1至约0.7的范围。
接着,根据一些实施例,如图1F所绘示,形成蚀刻停止层121于盖层120、第一硬掩模层108及第一衬层116之上。盖层120的顶表面、第一硬掩模层108的顶表面及第一衬层116的顶表面形成大抵平坦的顶表面。因此,形成蚀刻停止层121于大抵平坦的顶表面之上。如本文所使用,如果结构与平面的偏差在本领域中已知的半导体工艺方法中固有的统计原子级偏差之内,结构为“大抵上平坦”。
此后,形成虚置栅极结构122于蚀刻停止层121之上。形成第二掩模层126于虚置栅极结构122之上,且形成第三掩模层128于第二掩模层126之上。
在一些实施例中,以氧化硅制成蚀刻停止层121。以沉积工艺例如化学气相沉积(例如电浆增强化学气相沉积、高选择比工艺、或上述的组合)工艺、原子层沉积工艺、其他合适的工艺、或上述的组合形成蚀刻停止层121。在一些实施例中,以多晶硅(polycrystalline-silicon,poly-Si)或多晶硅锗(poly-crystalline silicon-germanium,poly-SiGe)制成虚置栅极结构122。以使用第二掩模层126及第三掩模层128作为掩模以沉积工艺及图案化工艺形成虚置栅极结构122。
在一些实施例中,以氧化硅、氮化硅、碳氮化硅、或合适的材料独自形成第二掩模层126及第三掩模层128。在一些实施例中,以沉积工艺例如化学气相沉积工艺、原子层沉积工艺、其他合适的工艺、或上述的组合独自形成第二掩模层126及第三掩模层128。
接着,根据一些实施例,如图1G所绘示,形成栅极间隔物层130于虚置栅极结构122的相对侧壁表面上。
在一些实施例中,以介电材料例如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、氮碳氧化硅(silicon oxide carbonitride,SiOCN),或上述的组合制成栅极间隔物层130。在一些实施例中,以沉积工艺例如化学气相沉积工艺、原子层沉积工艺、其他合适的工艺、或上述的组合形成栅极间隔物层130。
接着,移除未被虚置栅极结构122覆盖的某些区域。更具体而言,移除部分蚀刻停止层121、部分盖层120、部分第一衬层116、及部分鳍状结构110以形成多个源极/汲极凹槽131。接着,移除虚置栅极结构122之下的部分第一衬层116及部分第一半导体层104以形成空腔135。
应注意的是,以高介电常数介电材料制成盖层120,且以低介电常数介电材料制成虚置鳍状结构118。在一些实施例中,以具有大于7(>7)的k值的高介电常数介电材料制成盖层,且以具有小于7(<7)的k值的低介电常数介电材料制成虚置鳍状结构118。以不同材料制成盖层120及虚置鳍状结构118以具有不同蚀刻率。移除了未被虚置栅极结构122覆盖的盖层120,但由于盖层120与虚置鳍状结构118的高蚀刻选择性,留下了所移除的盖层120正下方的虚置鳍状结构118。此外,由于移除了部分第一衬层116,从源极/汲极凹槽131露出部分隔离结构114。
接着,根据一些实施例,如图1H所绘示,形成内部间隔物层136于空腔135中。配置内部间隔物层136作为源极/汲极结构138(于后形成,图1I)及栅极结构160a(于后形成,如图2E所绘示)之间的阻障。内部间隔物层136可降低源极/汲极结构138(于后形成,图1I)及栅极结构160a(于后形成,如图2E所绘示)之间的寄生电容。
内部间隔物层136位于栅极间隔物层130的正下方。形成内部间隔物层136于第一鳍状结构110a的侧壁表面上及第二鳍状结构110b的侧壁表面上。此外,形成内部间隔物层136于盖层120的侧壁表面上。
在一些实施例中,以碳氮化硅、氮碳氧化硅、或上述的组合制成内部间隔物层136。在一些实施例中,以沉积工艺例如化学气相沉积工艺、原子层沉积工艺、其他合适的工艺、或上述的组合形成内部间隔物层136。
接着,根据一些实施例,如图1I所绘示,形成多个源极/汲极结构138于源极/汲极凹槽131之中。
每一源极/汲极结构138可包括硅锗(silicon germanium,SiGe)、砷化铟(indiumarsenide,InAs)、砷化铟镓(indium gallium arsenide,InGaAs)、锑化铟(indiumantimonide,InSb)、砷化镓(gallium arsenide,GaAs)、锑化镓(gallium antimonide,GaSb)、磷化铟铝(indium aluminum phosphide,InAlP)、磷化铟(indium phosphide,InP)、或上述的组合。可以一或多种掺质掺杂源极/汲极结构138。在一些实施例中,源极/汲极结构138为以磷(phosphorus,P)、砷(arsenic,As)、锑(antimony,Sb)、或其他可用的掺质所掺杂的硅(silicon,Si)。或者,源极/汲极结构138之一为以硼(boron,B)或其他可用的掺质所掺杂的硅锗(silicon germanium,SiGe)。
在一些实施例中,以外延(epitaxy or epitaxial,epi)工艺形成源极/汲极结构138。外延工艺可包括选择性外延成长(selective epitaxial growth,SEG)工艺、化学气相沉积技术(例如气相外延(vapor-phase epitaxy,VPE)及/或超高真空化学气相沉积(ultra-high vacuum CVD,UHV-CVD)、分子束外延、或其他合适的外延工艺。
接着,根据一些实施例,如图1J所绘示,形成接点蚀刻停止层(contact etch stoplayer,CESL)140于源极/汲极结构138之上,且形成层间介电(inter-layer dielectric,ILD)层142于接点蚀刻停止层140之上。接点蚀刻停止层140位于源极/汲极结构138及层间介电层142之间。接着,移除部分层间介电层142以露出虚置栅极结构122的上表面。在一些实施例中,以平坦化工艺、化学机械研磨工艺移除部分层间介电层142。
在一些实施例中,以氮化硅、氮氧化硅、及/或其他可用的材料制成接点蚀刻停止层140。可以电浆增强化学气相沉积工艺、低压化学气相沉积工艺、原子层沉积制成、或其他可用的工艺形成接点蚀刻停止层140。
层间介电层142可包括以多种介电材料例如氧化硅、氮化硅、氮氧化硅、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、低介电常数介电材料、及/或其他可用的介电材料所制成的多层。低介电常数介电材料可包括但不限于氟硅玻璃(fluorinated silica glass,FSG)、碳掺杂氧化硅、非晶氟化碳(amorphous fluorinated carbon)、聚对二甲苯(parylene)、双苯并环丁烯(bis-benzocyclobutenes,BCB)、或聚酰亚胺(polyimide)。可以化学气相沉积、物理气相沉积、原子层沉积、旋转涂布、或其他合适的工艺形成层间介电层142。
接着,根据一些实施例,如图1K所绘示,移除虚置栅极结构122及蚀刻停止层121。于是,形成沟槽147以露出第一硬掩模层108。
接着,形成第四掩模层144及第五掩模层146于沟槽147之中及层间介电层142之上。独自以氧化硅、氮化硅、碳氮化硅、或其他合适的材料制成第四掩模层144及第五掩模层146。在一些实施例中,以沉积工艺例如化学气相沉积工艺、原子层沉积工艺、其他可用的工艺、或上述的组合独自形成第四掩模层144及第五掩模层146。
根据一些本发明实施例,图2A-图2E绘示出在图1K之后形成半导体装置结构100a的各阶段的剖面图。根据一些实施例,图2A绘示出图1K所绘示的半导体装置结构沿线AA’的剖面图。
如图2A所绘示,形成多个虚置鳍状结构118于隔离结构114之上,且形成多个盖层120于虚置鳍状结构118之上。配置第四掩模层144形成于盖层120之上以保护盖层120使其免于被移除。
接着,根据一些实施例,如图2B所绘示,以移除工艺移除一些盖层120,但以第四掩模层144保护一些盖层120。移除工艺可为湿蚀刻工艺或干蚀刻工艺。以第一衬层116包围盖层120。在移除工艺时,移除部分第一衬层116。之后,移除第五掩模层146。
接着,根据一些实施例,如图2C所绘示,移除第四掩模层144,且移除第一硬掩模层108。接着,移除第一衬层116以形成凹槽149,且移除第一半导体层104以形成间隙151。结果是,获得了多个以第二半导体层106制成的堆叠线结构。
在一些实施例中,以灰化工艺移除第四掩模层144。在一些实施例中,以蚀刻工艺例如湿蚀刻工艺、干蚀刻工艺、或上述的组合独自移除第一硬掩模层108、第一衬层116、及第一半导体层104。在一些实施例中,第一衬层116以硅锗制成,且第一半导体层104以硅锗制成,且因此同时移除了第一衬层116及第一半导体层104。
接着,根据一些实施例,如图2D所绘示,形成栅极结构160于凹槽149及间隙151之中。栅极结构160包括界面层152、栅极介电层154及栅极电极层156。
沿着第二半导体层106的主要表面顺应性地形成界面层152以包围第二半导体层106。在一些实施例中,以化学形成的氧化硅制成界面层152。
在一些实施例中,栅极介电层154为高介电常数介电层。在一些实施例中,以一或多层介电材料例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化钛、二氧化铪-氧化铝(hafnium dioxide-alumina,HfO2-Al2O3)合金、其他合适的高介电常数介电材料、或上述的组合制成高介电常数栅极介电层。在一些实施例中,使用化学气相沉积、原子层沉积、其他合适的方法、或上述的组合形成高介电常数栅极介电层154。
根据一些实施例,形成栅极电极层156于栅极介电层154之上。栅极电极层156填充间隙151。在一些实施例中,以一或多层导电材料,例如多晶硅(polysilicon)、铝(aluminum)、铜(copper)、钛(titanium)、钽(tantalum)、钨(tungsten)、钴(cobalt)、钼(molybdenum)、氮化钽(tantalum nitride)、硅化镍(nickel silicide)、硅化钴(cobaltsilicide)、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他合适的材料、或上述的组合制成栅极电极层156。在一些实施例中,使用化学气相沉积、原子层沉积、电镀、其他合适的方法、或上述的组合形成栅极电极层156。
接着,根据一些实施例,如图2E所绘示,移除部分栅极电极层156。在一些实施例中,以平坦化工艺例如化学机械研磨工艺移除部分栅极电极层156。之后,以蚀刻工艺回蚀栅极电极层156,且因此盖层120突出于栅极电极层156的顶表面之上。更具体而言,突出的盖层120用以切割栅极电极层156,且因此形成了第一栅极结构160a及第二栅极结构160b。第一栅极结构160a及第二栅极结构160b以虚置鳍状结构118及盖层120分隔。第一栅极结构160a及第二栅极结构160b各自执行不同功能。
盖层120的顶表面高于第一鳍状结构110a及第二鳍状结构110b的顶表面。更具体而言,盖层120的顶表面高于每一第二半导体层106的顶表面。盖层120的顶表面高于第一栅极结构160a的顶表面及第二栅极结构160b的顶表面。
之后,选择性地形成导电层162于栅极电极层156之上,且形成牺牲层164于导电层162之上。导电层162用以降低栅极电极层156的电阻。在一些实施例中,以钨制成导电层162。应注意的是,选择性地形成导电层162于栅极电极层156之上,但未形成于盖层120之上。在一些实施例中,以氮化硅、氮氧化硅、碳化硅(silicon carbide,SiC)、其他可用的绝缘材料、或上述的组合制成牺牲层164。
在一些实施例中,于栅极电极层156的顶表面进行表面处理工艺,以形成一些氢自由基(hydrogen radicals),且接着在已处理的栅极电极层156的顶表面进行具前驱物的沉积工艺以形成导电层162。在一些实施例中,表面处理工艺包括使用氢(hydrogen,H2)气。前驱物可包括含钨材料,例如六氟化钨(tungsten hexafluoride,WF6)或六氯化钨(tungstenhexachloride,WCl6)。前驱物与氢自由基反应以形成导电层162。
第一栅极结构160a及第二栅极结构160b之间存在第一距离D1。在一些实施例中,第一距离D1介于约15nm至约40nm的范围。
虚置鳍状结构118及盖层120用以作为第一栅极结构160a及第二栅极结构160b的阻障结构。在形成栅极结构160之前形成虚置鳍状结构118及盖层120,且因此形成自对准切割金属栅极(self-aligned cut metal gate,SACMG)以避免对准的问题。以不同材料制成虚置鳍状结构118及盖层120,以在如第1G图所绘示的移除工艺中具有蚀刻选择性。
此外,第一硬掩模层108的顶表面、第一衬层116的顶表面、及盖层120的顶表面形成平坦的顶表面,且形成蚀刻停止层121于平坦的顶表面之上。应注意的是,亦形成虚置栅极结构122于平坦的顶表面之上,且虚置栅极结构122未填入两鳍状结构之间的间隙。因此,避免了虚置栅极结构122填入间隙时的空隙问题。
源极/汲极结构138及栅极结构160之间的内部间隔物层136可有效地用以作为阻障以降低源极/汲极结构138及栅极结构160之间的寄生电容。
若形成虚置鳍状结构于余留的鳍状结构之上(余留的鳍状结构的高度低于鳍状结构),第一栅极结构160a及第二栅极结构160b之间的距离将为两邻近鳍状结构110之节距的两倍。在本发明实施例中,直接形成虚置鳍状结构于隔离结构114之上,且因此第一栅极结构160a及第二栅极结构160b之间的距离约为两邻近鳍状结构110的节距。因此,大幅减少两栅极结构之间的距离。
根据一些实施例,图3绘示出图1K中所绘示的半导体装置结构100a沿线BB’的剖面图。
如图3所绘示,内部间隔物层136位于栅极间隔物层130之下。虚置鳍状结构118被内部间隔物层136包围,且第二半导体层106被内部间隔物层136包围。此外,盖层120被内部间隔物层136包围且直接接触内部间隔物层136。
根据一些实施例,图4绘示出半导体装置结构100a的俯视图。图4为在形成导电层162于栅极电极层156上之前半导体装置结构100a的俯视图。
如图4所绘示,盖层120用以作为阻障结构以分隔第一栅极结构160a及第二栅极结构160b。形成盖层120于第一鳍状结构110a及第二鳍状结构110b之间的区域之中。
根据一些实施例,图5A绘示出半导体装置结构100b的透视图。半导体装置结构100b为鳍状场效晶体管(fin field effect transistor,FinFET)。根据一些实施例,图5B绘示出图5A中所绘示的半导体装置结构沿线BB’的剖面图。图5A的半导体装置结构100b与图1K的半导体装置结构100a相似,图5A与图1K的差别在于图5A中为鳍状结构110(图1K中为堆叠的第一半导体层104及第二半导体层106),以及形成硅化物层172于源极/汲极结构138之上,且形成源极/汲极接点结构174于硅化物层172之上。
如图5A及图5B所绘示,形成虚置鳍状结构118于第一鳍状结构110a及第二鳍状结构110b之间的隔离结构114之上,且形成盖层120于虚置鳍状结构118之上。第一栅极结构160a及第二栅极结构160b以虚置鳍状结构118及盖层120分隔。盖层120的顶表面高于第一鳍状结构110a及第二鳍状结构110b的顶表面。
根据一些实施例,图5C绘示出图5A中所绘示的半导体装置结构沿线CC’的剖面图。
如图5C所绘示,内部间隔物层136位于栅极间隔物层130的下方。虚置鳍状结构118被内部间隔物层136包围,且鳍状结构110被内部间隔物层136包围。形成内部间隔物层136于鳍状结构110的侧壁表面上,以及盖层120的侧壁表面上。
根据一些实施例,图5D绘示出图5A中所绘示的半导体装置结构沿线DD’的剖面图。
如图5D所绘示,形成硅化物层172于源极/汲极结构138之上,且形成源极/汲极接点结构174于硅化物层172之上。
根据一些实施例,图6A-图6B绘示出半导体装置结构100c不同阶段的透视图。图6A的半导体装置结构100c与图1D的半导体装置结构100a相似,图6A与图1D的差别在于虚置鳍状结构118具有下凹部分的U型结构。
如图6A所绘示,第一节距P1介于第一鳍状结构110a及第二鳍状结构110b之间,第二节距P2介于第二鳍状结构110b及第三鳍状结构110c之间。第三节距P3介于第三鳍状结构110c及第四鳍状结构110d之间。在一些实施例中,第三节距P3大于第二节距P2,且第二节距P2大于第一节距P1。由于虚置鳍状结构118的间隙填充能力受限于材料的种类,虚置鳍状结构118可能未填充沟槽115。因此,得到了U型虚置鳍状结构118。虚置鳍状结构118在中间部分具下凹部分。
此后,根据一些实施例,如图6B所绘示,形成填充层119于虚置鳍状结构118的凹处。接着,形成盖层120于虚置鳍状结构118及填充层119之上。在一些实施例中,以不同材料制成填充层119及虚置鳍状结构118。在一些实施例中,以可流动化学气相沉积工艺形成填充层119。之后,半导体装置结构100c继续进行图1F至图1K中所绘示的工艺。
根据一些实施例,图7A-图7I绘示出半导体装置结构100d不同阶段的透视图。
图7A相似于图1D,或与图1D相同,鳍状结构110延伸于隔离结构114之上。形成籽晶层112于鳍状结构110的侧壁表面上,以及形成第一衬层116于籽晶层112上。
接着,根据一些实施例,如图7B所绘示,形成第二衬层117于第一衬层116上。以不同材料制成第一衬层116及第二衬层117。第一衬层116及第二衬层117未完全填充沟槽115。
在一些实施例中,以介电层例如氮化硅、碳氮化硅、或可用的材料制成第二衬层117。在一些实施例中,以化学气相沉积工艺、原子层沉积工艺、物理气相沉积、或其他可用的工艺形成第二衬层117。
之后,根据一些实施例,如图7C所绘示,形成虚置鳍状结构118于沟槽115之中,且形成盖层120于第一衬层116及第二衬层117之上。
首先形成第一衬层116,接着形成第二衬层117。之后,形成虚置鳍状结构118于第二衬层117的侧壁表面上,且因此虚置鳍状结构118直接接触第二衬层117,而不直接接触第一衬层116。
此后,根据一些实施例,如图7D所绘示,形成蚀刻停止层121于盖层120、第一硬掩模层108及第一衬层116之上。之后,形成虚置栅极结构122于蚀刻停止层121之上。形成第二掩模层126于虚置栅极结构122之上,且形成第三掩模层128于第二掩模层126之上。
之后,根据一些实施例,如图7E所绘示,形成栅极间隔物层130于虚置栅极结构122的相对侧壁表面上。移除未被虚置栅极结构122覆盖的一些膜层以形成源极/汲极凹槽131。接着,移除虚置栅极结构122之下的部分第一衬层116及部分第一半导体层104以形成空腔135。应注意的是,当移除部分第一衬层116时,未移除第二衬层117。留下第二衬层117于虚置鳍状结构118的侧壁表面上以增加两邻近源极/汲极结构(于后形成,如图7G所绘示)之间的隔离效果。移除了盖层120,但未移除虚置鳍状结构118及第二衬层117。
接着,根据一些实施例,如图7F所绘示,形成内部间隔物层136于空腔135之中。
之后,根据一些实施例,如图7G所绘示,形成源极/汲极结构138于源极/汲极凹槽131之中。应注意的是,第一衬层116及第二衬层117位于两邻近源极/汲极结构138之间。
接着,根据一些实施例,如图7H所绘示,形成接点蚀刻停止层140于源极/汲极结构138之上,且形成层间介电层142于接点蚀刻停止层140之上。
接着,根据一些实施例,如图7I所绘示,移除虚置栅极结构122及蚀刻停止层121。于是,形成沟槽147以露出第一硬掩模层108。
根据一些实施例,图8A-图8E绘示出在图7I之后形成半导体元件结构100d之各阶段的剖面图。根据一些实施例,图8A绘示出在图7I中所绘示的半导体元件结构沿线EE’的剖面图。
如图8A所绘示,形成多个盖层120于隔离结构114之上,且形成第四掩模层144于部分盖层120之上以保护盖层120免于被移除。形成第五掩模层146于第四掩模层144之上。
接着,根据一些实施例,如图8B所绘示,以移除工艺移除一些盖层120,但第四掩模层144保护了一些盖层120。第一衬层116包围盖层120。在移除工艺之中,移除了部分第一衬层116。接着,移除第五掩模层146。
接着,根据一些实施例,如图8C所绘示,移除第四掩模层144,且移除第一硬掩模层108。接着,移除第一衬层116以形成凹槽149,且移除第一半导体层104以形成间隙151。在一些实施例中,第一衬层116以硅锗制成,且第一半导体层104以硅锗制成,且因此,同时移除了第一衬层116及第一半导体层104。
接着,根据一些实施例,如图8D所绘示,移除沟槽147正下方的第二衬层117。应注意的是,以不同材料制成第一衬层116及第二衬层117,以及以分别的工艺移除第一衬层116及第二衬层117。此外,未移除与源极/汲极结构138直接接触的第二衬层117。
接着,根据一些实施例,如图8E所绘示,依序形成界面层152、栅极介电层154、及栅极电极层156于凹槽149及间隙151之中。
接着,移除部分栅极电极层156,且因此盖层120的顶表面高于栅极电极层156的顶表面。于是,第一栅极结构160a及第二栅极结构160b以虚置鳍状结构118及盖层120相隔。
之后,选择性地形成导电层162于栅极电极层156之上,且形成牺牲层164于导电层162之上。导电层162用以降低栅极电极层156的电阻。
虚置鳍状结构118为两邻近源极/汲极结构138之间的阻障结构。当鳍状结构110的尺寸逐渐减小时,虚置鳍状结构118的宽度逐渐减小。若虚置鳍状结构118的宽度太小,虚置鳍状结构118的隔离效果可能不够好。此外,形成第一源极/汲极接点结构(未绘示)于第一源极/汲极结构138之上,但由于虚置鳍状结构118的宽度变小,第一源极/汲极接点结构及第二源极/汲极结构(不应电性连接至第一源极/汲极结构)的距离变小。小距离可能造成时间依赖性介电崩溃(time dependent dielectric breakdown,TDDB)。为了避免时间依赖性介电崩溃,仍留下第二衬层117直接接触源极/汲极结构138以增加两邻近源极/汲极结构138之间的距离。
虚置鳍状结构118及盖层120用以作为第一栅极结构160a及第二栅极结构160b的阻障结构。于形成栅极结构160之前形成虚置鳍状结构118及盖层120,且因此形成了自对准切割金属栅极以避免对准问题。以不同材料制成虚置鳍状结构118及盖层120,以在移除工艺中具有蚀刻选择性。
提供了半导体装置结构及其形成方法的实施例。形成鳍状结构于基板之上。虚置鳍状结构形成于隔离结构之上以及形成于两邻近鳍状结构之间。形成盖层于虚置鳍状结构之上。形成第一栅极结构及第二栅极结构于鳍状结构之上,且以虚置鳍状结构及盖层分隔。由于在形成第一栅极结构及第二栅极结构之前形成了虚置鳍状结构及盖层,且因此形成了自对准切割金属栅极。此外,以两鳍状结构之间距离所定义的第一栅极结构及第二栅极结构之间的距离大幅减小。切割金属栅极工艺为自对准,没有对准问题,且两栅极结构之间的距离减小。因此,改善了半导体元件结构的良率。
在一些实施例中,提供了一种半导体装置结构的形成方法。此方法包括形成第一鳍状结构及第二鳍状结构延伸于隔离结构之上,以及形成衬层于第一鳍状结构的侧壁表面及第二鳍状结构的侧壁表面之上。此方法亦包括形成虚置鳍状结构于隔离结构之上,且虚置鳍状结构位于第一鳍状结构及第二鳍状结构之间。此方法还包括形成盖层于虚置鳍状结构之上,且形成虚置栅极结构于盖层、第一鳍状结构、及第二鳍状结构之上。此方法包括形成介电层包围虚置栅极结构,以及移除虚置栅极结构以于介电层中形成沟槽。此方法还包括移除沟槽之下的衬层以形成第一凹槽于第一鳍状结构及虚置鳍状结构之间,以及第二凹槽于第二鳍状结构及虚置鳍状结构之间。此方法包括分别形成第一栅极结构于第一凹槽中及第二栅极结构于第二凹槽中,以及以虚置鳍状结构及盖层分隔第一栅极结构及第二栅极结构。在一实施例中,衬层包括第一衬层于第一鳍状结构的侧壁表面以及第二鳍状结构的侧壁表面之上,以及第二衬层于第一衬层之上,第二衬层及第一衬层以不同材料制成。在一实施例中,此方法还包括移除第一鳍状结构的部分及第二鳍状结构的部分以分别形成第一源极/汲极凹槽及第二源极/汲极凹槽,形成第一源极/汲极结构于第一源极/汲极凹槽之中以及形成第二源极/汲极结构于第二源极/汲极凹槽之中。在一实施例中,此方法还包括移除衬层的部分以形成空腔;以及在形成第一源极/汲极结构于第一源极/汲极凹槽之中以及形成第二源极/汲极结构于第二源极/汲极凹槽之中之前形成内部间隔物层于空腔之中,内部间隔物层直接接触第一鳍状结构及盖层。在一实施例中,此方法还包括形成栅极间隔物层于虚置栅极结构的侧壁表面之上,其中内部间隔物层位于栅极间隔物层的正下方。在一实施例中,第一鳍状结构包括相互堆叠的第一半导体层及第二半导体层,第一半导体层及第二半导体层以不同的材料制成。在一实施例中,此方法还包括移除沟槽之下的衬层时,移除第一半导体层。在一实施例中,隔离结构具有第一宽度,虚置鳍状结构具有第二宽度,且第二宽度小于第一宽度。在一实施例中,虚置鳍状结构具有凹陷部份的U型结构,且介电层形成于虚置鳍状结构的凹陷部分之中。
在一些实施例中,提供了一种半导体装置结构的形成方法。此方法包括形成第一鳍状结构及第二鳍状结构于基板之上,且第一鳍状结构包括相互堆叠的第一半导体层及第二半导体层。此方法亦包括形成隔离结构于基板之上,且第一鳍状结构的顶部及第二鳍状结构的顶部延伸于隔离结构之上,且沟槽位于第一鳍状结构及第二鳍状结构之间。此方法还包括形成第一衬层于沟槽的部分之中,且形成虚置鳍状结构于沟槽的另一部分之中。第一衬层位于虚置鳍状结构及第一鳍状结构之间。此方法亦包括形成盖层于虚置鳍状结构之上,且形成虚置栅极结构于盖层、第一鳍状结构及第二鳍状结构之上。此方法还包括移除盖层的部分、第一衬层的部分、及第一鳍状结构的部分、及第二鳍状结构的部分以形成源极/汲极凹槽,以及形成源极/汲极结构于源极/汲极凹槽之中。此方法包括形成介电层包围虚置栅极结构且位于源极/汲极结构之上,且移除虚置栅极结构以形成沟槽于介电层之中。此方法还包括移除第一半导体层的部分以形成间隙;以及形成栅极结构于间隙之中。盖层的顶表面高于栅极结构的顶表面。在一实施例中,此方法还包括形成籽晶层于第一鳍状结构的侧壁表面以及第二鳍状结构的侧壁表面上;以及形成第一衬层于籽晶层之上。在一实施例中,此方法还包括形成第二衬层于第一衬层之上,第二衬层及第一衬层以不同材料制成。在一实施例中,此方法还包括移除第一半导体层的部分以形成空腔;以及形成内部间隔物层于空腔之中,盖层被内部间隔物层包围。在一实施例中,此方法还包括虚置鳍状结构具有凹陷部份的U型结构,且介电层形成于虚置鳍状结构的凹陷部分之中。在一实施例中,此方法还包括在移除虚置栅极结构之前,形成掩模层于盖层之上。
在一些实施例中,提供了一种半导体装置结构。半导体装置结构包括隔离结构形成于基板之上,且第一鳍状结构及第二鳍状结构延伸于隔离结构之上。半导体装置结构亦包括虚置鳍状结构形成于隔离结构之上,且虚置鳍状结构位于第一鳍状结构及第二鳍状结构之间。半导体装置结构包括盖层,形成于虚置鳍状结构之上,且盖层的顶表面高于第一鳍状结构的顶表面以及第二鳍状结构的顶表面。半导体装置结构还包括第一栅极结构形成于第一鳍状结构之上,以及第二栅极结构形成于第二鳍状结构之上。第一栅极结构及第二栅极结构以虚置鳍状结构及盖层相隔。在一实施例中,第一鳍状结构包括堆叠线结构。在一实施例中,虚置鳍状结构及盖层以不同材料制成。在一实施例中,隔离结构具有第一宽度,虚置鳍状结构具有第二宽度,且第二宽度小于第一宽度。在一实施例中,半导体装置结构还包括内部间隔物层,形成于第一鳍状结构的侧壁表面、第二鳍状结构的侧壁表面、及盖层的侧壁表面之上。
前述内文概述了许多实施例的特征部件,使本技术领域中普通技术人员可以从各个方面更佳地了解本发明实施例。本技术领域中普通技术人员应可理解,且可轻易地以本发明实施例为基础来设计或修饰其他工艺及结构,并以此达到相同的目的及/或达到与在此介绍的实施例相同之优点。本技术领域中普通技术人员也应了解这些相等的结构并未背离本发明实施例的发明精神与范围。在不背离本发明实施例的发明精神与范围的前提下,可对本发明实施例进行各种改变、置换或修改,因此本发明的保护范围当视后附的权利要求所界定者为准。另外,虽然本发明已以数个较佳实施例公开如上,然其并非用以限定本发明,且并非所有优点都已于此详加说明。

Claims (10)

1.一种半导体装置结构的形成方法,包括:
形成一第一鳍状结构及一第二鳍状结构延伸于一隔离结构之上;
形成一衬层于该第一鳍状结构的一侧壁表面及该第二鳍状结构的一侧壁表面之上;
形成一虚置鳍状结构于该隔离结构之上,其中该虚置鳍状结构位于该第一鳍状结构及该第二鳍状结构之间;
形成一盖层于该虚置鳍状结构之上;
形成一虚置栅极结构于该盖层、该第一鳍状结构及该第二鳍状结构之上;
形成一介电层包围该虚置栅极结构;
移除该虚置栅极结构以于该介电层中形成一沟槽;
移除该沟槽之下的该衬层以形成一第一凹槽于该第一鳍状结构及该虚置鳍状结构之间,以及一第二凹槽于该第二鳍状结构及该虚置鳍状结构之间;以及
分别形成一第一栅极结构于该第一凹槽中及一第二栅极结构于该第二凹槽中,其中以该虚置鳍状结构及该盖层分隔该第一栅极结构及该第二栅极结构。
2.如权利要求1的半导体装置结构的形成方法,其中该衬层包括一第一衬层于该第一鳍状结构的该侧壁表面以及该第二鳍状结构的该侧壁表面之上,以及一第二衬层于该第一衬层之上,其中该第二衬层及该第一衬层以不同材料制成。
3.如权利要求1的半导体装置结构的形成方法,其中该第一鳍状结构包括相互堆叠的第一半导体层及第二半导体层,其中该第一半导体层及该第二半导体层以不同的材料制成。
4.如权利要求1的半导体装置结构的形成方法,其中该虚置鳍状结构具有一凹陷部份的一U型结构,且一介电层形成于该虚置鳍状结构的该凹陷部分之中。
5.一种半导体装置结构的形成方法,包括:
形成一第一鳍状结构及一第二鳍状结构于一基板之上,其中该第一鳍状结构包括相互堆叠的第一半导体层及第二半导体层;
形成一隔离结构于该基板之上,其中该第一鳍状结构的一顶部及该第二鳍状结构的一顶部延伸于该隔离结构之上,且一沟槽位于该第一鳍状结构及该第二鳍状结构之间;
形成一第一衬层于该沟槽的一部分之中;
形成一虚置鳍状结构于该沟槽的另一部分之中,其中该第一衬层位于该虚置鳍状结构及该第一鳍状结构之间;
形成一盖层于该虚置鳍状结构之上;
形成一虚置栅极结构于该盖层、该第一鳍状结构及该第二鳍状结构之上;
移除该盖层的一部分、该第一衬层的一部分、及该第一鳍状结构的一部分、及该第二鳍状结构的一部分以形成一源极/汲极凹槽;
形成一源极/汲极结构于该源极/汲极凹槽之中;
形成一介电层包围该虚置栅极结构且位于该源极/汲极结构之上;
移除该虚置栅极结构以形成一沟槽于该介电层之中;
移除该第一半导体层的一部分以形成间隙;以及
形成一栅极结构于该间隙之中,其中该盖层的一顶表面高于该栅极结构的一顶表面。
6.如权利要求5的半导体装置结构的形成方法,还包括:
形成一籽晶层于该第一鳍状结构的一侧壁表面以及该第二鳍状结构的一侧壁表面上;以及
形成该第一衬层于该籽晶层之上。
7.一种半导体装置结构,包括:
一隔离结构,形成于一基板之上;
一第一鳍状结构及一第二鳍状结构,延伸于该隔离结构之上;
一虚置鳍状结构,形成于该隔离结构之上,其中该虚置鳍状结构位于该第一鳍状结构及该第二鳍状结构之间;
一盖层,形成于该虚置鳍状结构之上,其中该盖层的一顶表面高于该第一鳍状结构的一顶表面以及该第二鳍状结构的一顶表面;
一第一栅极结构,形成于该第一鳍状结构之上;以及
一第二栅极结构,形成于该第二鳍状结构之上,其中该第一栅极结构及该第二栅极结构以该虚置鳍状结构及该盖层分隔。
8.如权利要求7的半导体装置结构,其中该虚置鳍状结构及该盖层以不同材料制成。
9.如权利要求7的半导体装置结构,其中该隔离结构具有一第一宽度,该虚置鳍状结构具有一第二宽度,且该第二宽度小于该第一宽度。
10.如权利要求7的半导体装置结构,还包括:
一内部间隔物层,形成于该第一鳍状结构的一侧壁表面、该第二鳍状结构的一侧壁表面及该盖层的一侧壁表面之上。
CN202010074227.6A 2019-01-29 2020-01-22 半导体装置结构及其形成方法 Active CN111490012B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/260,483 2019-01-29
US16/260,483 US10825918B2 (en) 2019-01-29 2019-01-29 Semiconductor device structure and method for forming the same

Publications (2)

Publication Number Publication Date
CN111490012A true CN111490012A (zh) 2020-08-04
CN111490012B CN111490012B (zh) 2023-07-14

Family

ID=71524766

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010074227.6A Active CN111490012B (zh) 2019-01-29 2020-01-22 半导体装置结构及其形成方法

Country Status (5)

Country Link
US (4) US10825918B2 (zh)
KR (1) KR102254863B1 (zh)
CN (1) CN111490012B (zh)
DE (1) DE102020100101B8 (zh)
TW (1) TWI737136B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11651965B2 (en) * 2019-08-12 2023-05-16 Tokyo Electron Limited Method and system for capping of cores for self-aligned multiple patterning
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
CN113130483A (zh) * 2020-02-27 2021-07-16 台湾积体电路制造股份有限公司 半导体结构
US11328963B2 (en) 2020-02-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US20220037498A1 (en) * 2020-07-31 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Gate Structures and Methods of Forming the Same
US11329168B2 (en) * 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
DE102020131140A1 (de) * 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
US11728401B2 (en) 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US20220139914A1 (en) * 2020-10-30 2022-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device with Gate Isolation Structure and Method for Forming the Same
KR20220091655A (ko) 2020-12-23 2022-07-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11757024B2 (en) 2021-04-07 2023-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Etch selectivity control for epitaxy process window enlargement in semiconductor devices
US20220328627A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming the same
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20220399335A1 (en) * 2021-06-14 2022-12-15 Intel Corporation Integrated circuit structures with backside gate partial cut or trench contact partial cut
DE102021120398B4 (de) * 2021-08-05 2023-03-02 Infineon Technologies Ag Systeme und vorrichtungen für radsensoren
US20230067799A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap and method of fabricating thereof
TWI841959B (zh) * 2022-05-05 2024-05-11 世界先進積體電路股份有限公司 微機電裝置及其製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070126065A1 (en) * 2005-12-01 2007-06-07 Lee Jin Y Semiconductor device and method of manufacturing the same
CN103839819A (zh) * 2012-11-25 2014-06-04 中国科学院微电子研究所 半导体器件及其制造方法
US20150147874A1 (en) * 2013-11-25 2015-05-28 United Microelectronics Corp. Method for forming a semiconductor structure
US9306038B1 (en) * 2014-12-12 2016-04-05 International Business Machines Corporation Shallow extension junction
CN106415800A (zh) * 2013-12-19 2017-02-15 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US20170213888A1 (en) * 2016-01-27 2017-07-27 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel fets
CN108807381A (zh) * 2017-04-27 2018-11-13 台湾积体电路制造股份有限公司 Finfet器件及其制造方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013038213A (ja) * 2011-08-08 2013-02-21 Toshiba Corp 集積回路装置及びその製造方法
US9287179B2 (en) * 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9601492B1 (en) 2015-11-16 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US9786765B2 (en) 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US10510608B2 (en) 2016-03-04 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11043492B2 (en) 2016-07-01 2021-06-22 Intel Corporation Self-aligned gate edge trigate and finFET devices
US11101268B2 (en) 2017-03-30 2021-08-24 Intel Corporation Transistors employing non-selective deposition of source/drain material
CN108807532B (zh) * 2017-04-28 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US20190081145A1 (en) * 2017-09-12 2019-03-14 Globalfoundries Inc. Contact to source/drain regions and method of forming same
US10453752B2 (en) * 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10971391B2 (en) * 2018-06-13 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap fill
US10665514B2 (en) * 2018-06-19 2020-05-26 International Business Machines Corporation Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
US10573755B1 (en) 2018-09-12 2020-02-25 International Business Machines Corporation Nanosheet FET with box isolation on substrate
US10825918B2 (en) * 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11211381B2 (en) * 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20200134404A (ko) * 2019-05-22 2020-12-02 삼성전자주식회사 반도체 장치
US11532723B2 (en) 2019-10-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-end gate structures and method forming same
US11545490B2 (en) 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
CN113130483A (zh) 2020-02-27 2021-07-16 台湾积体电路制造股份有限公司 半导体结构
US11799019B2 (en) * 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11349004B2 (en) * 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11424347B2 (en) * 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11322505B2 (en) * 2020-06-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric random access memory devices and methods
US20220037498A1 (en) * 2020-07-31 2022-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Gate Structures and Methods of Forming the Same
US11532628B2 (en) 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11973122B2 (en) 2021-03-26 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-FET semiconductor device and method of forming
US20230138136A1 (en) 2021-11-04 2023-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. NanoStructure Field-Effect Transistor Device and Methods of Forming
US20230155002A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate fin electrode structure and method
US20230178600A1 (en) 2021-12-08 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device Structure and Method for Forming the Same
US20230253260A1 (en) * 2022-02-08 2023-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Forming Source/Drain Features
US20230268411A1 (en) * 2022-02-23 2023-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US20230282723A1 (en) 2022-03-02 2023-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US20230369428A1 (en) 2022-05-11 2023-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Under epitaxy isolation structure
US20230369468A1 (en) 2022-05-11 2023-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20230378318A1 (en) * 2022-05-17 2023-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US20230378261A1 (en) * 2022-05-23 2023-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming Same
US20230420505A1 (en) 2022-06-22 2023-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer gate isolation structure and method for forming the same
US20240014280A1 (en) * 2022-07-08 2024-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US20240047553A1 (en) 2022-08-03 2024-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around field-effect transistor device
US20240072147A1 (en) 2022-08-28 2024-02-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20240105772A1 (en) 2022-09-23 2024-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US20240105806A1 (en) 2022-09-26 2024-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Devices And Method Of Forming The Same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070126065A1 (en) * 2005-12-01 2007-06-07 Lee Jin Y Semiconductor device and method of manufacturing the same
CN103839819A (zh) * 2012-11-25 2014-06-04 中国科学院微电子研究所 半导体器件及其制造方法
US20150147874A1 (en) * 2013-11-25 2015-05-28 United Microelectronics Corp. Method for forming a semiconductor structure
CN106415800A (zh) * 2013-12-19 2017-02-15 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9306038B1 (en) * 2014-12-12 2016-04-05 International Business Machines Corporation Shallow extension junction
US20170213888A1 (en) * 2016-01-27 2017-07-27 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel fets
CN108807381A (zh) * 2017-04-27 2018-11-13 台湾积体电路制造股份有限公司 Finfet器件及其制造方法

Also Published As

Publication number Publication date
US20200243665A1 (en) 2020-07-30
US20230053451A1 (en) 2023-02-23
DE102020100101B4 (de) 2023-06-01
KR102254863B1 (ko) 2021-05-26
US20210226036A1 (en) 2021-07-22
CN111490012B (zh) 2023-07-14
US12034062B2 (en) 2024-07-09
TWI737136B (zh) 2021-08-21
DE102020100101A1 (de) 2020-07-30
US11502187B2 (en) 2022-11-15
DE102020100101B8 (de) 2023-08-17
TW202101546A (zh) 2021-01-01
US10825918B2 (en) 2020-11-03
KR20200094679A (ko) 2020-08-07
US20200243666A1 (en) 2020-07-30
US11004959B2 (en) 2021-05-11

Similar Documents

Publication Publication Date Title
CN111490012B (zh) 半导体装置结构及其形成方法
US12087768B2 (en) Semiconductor device structure and method for forming the same
US11145719B2 (en) Semiconductor device having a contact
US11848326B2 (en) Integrated circuits with gate cut features
US11456368B2 (en) Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US20230369125A1 (en) Semiconductor device structure and methods of forming the same
US20230387270A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US12040329B2 (en) Semiconductor device structure and methods of forming the same
US11756958B2 (en) Semiconductor device structure and methods of forming the same
US11676864B2 (en) Semiconductor device structure and methods of forming the same
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US11935958B2 (en) Semiconductor device structure and method for forming the same
US20230369127A1 (en) Semiconductor structure and method for forming the same
US20230369201A1 (en) Semiconductor device and method for manufacturing the same
US20220415888A1 (en) Semiconductor Structure And Method For Forming The Same
CN112750817A (zh) 半导体装置结构

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant