CN110945626A - 金属硅化物的选择性沉积 - Google Patents

金属硅化物的选择性沉积 Download PDF

Info

Publication number
CN110945626A
CN110945626A CN201880034866.0A CN201880034866A CN110945626A CN 110945626 A CN110945626 A CN 110945626A CN 201880034866 A CN201880034866 A CN 201880034866A CN 110945626 A CN110945626 A CN 110945626A
Authority
CN
China
Prior art keywords
substrate
dose
exposing
mosi
mof
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880034866.0A
Other languages
English (en)
Other versions
CN110945626B (zh
Inventor
雷蒙德·洪
金南成
斯里尼瓦斯·内曼尼
怡利·叶
郑崔
克里斯托弗·艾尔斯
安德鲁·库梅尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
University of California
Original Assignee
Applied Materials Inc
University of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc, University of California filed Critical Applied Materials Inc
Publication of CN110945626A publication Critical patent/CN110945626A/zh
Application granted granted Critical
Publication of CN110945626B publication Critical patent/CN110945626B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本公开内容的实施方式涉及选择性金属硅化物沉积方法。在一个实施方式中,加热具有含硅表面的基板,以及该含硅表面为氢封端。将基板暴露于MoF6前驱物和Si2H6前驱物的连续循环,然后进行Si2H6的过量暴露,以将包含MoSi2的MoSix材料选择性地沉积在基板的含硅表面上。

Description

金属硅化物的选择性沉积
技术领域
本公开内容的实施方式一般地涉及用于金属硅化物沉积的方法。
背景技术
在纳米尺度的器件上准确定位材料对于操纵下一代纳米电子的原子级特性至关重要。对于半导体制造,利用具有优异保形性(conformality)和化学计量的材料的详细定位来满足对成本、产率和产量的需求。随着金属氧化物半导体场效晶体管(MOSFET)被缩放到小于<10nm的通道长度,需要克服源于由上而下(top-down)工艺的限制,诸如来自反应离子蚀刻的损坏和在三维(3D)表面上结构对齐的结构复杂性。
最近,由于MOSFET器件已经以3D结构(FinFET)制造,因此在保持保形膜质量的同时,对纳米尺度区域选择性沉积的兴趣日益增加。区域选择性沉积的一种方法是利用自组装单层(SAM)作为钝化层结合原子层沉积(ALD)工艺。钝化层阻挡或消除对ALD前驱物有反应性的表面官能基,使得可以获得选择性;然而,SAM方法仍然利用钝化层的选择性沉积。此外,在选择性沉积之后选择性地去除钝化层,这需要额外的工艺复杂性以及降低产量。
因此,本领域需要的是用于选择性材料沉积的改良方法。
发明内容
在一个实施方式中,提供了一种基板处理方法。该方法包括以下步骤:将具有含硅表面的基板加热至第一温度,将该基板暴露于含氢的等离子体,将该基板暴露于第一剂量的MoF6前驱物,及将该基板暴露于第二剂量的Si2H6前驱物。依序循环将该基板暴露于第一剂量的步骤及将该基板暴露于第二剂量的步骤,并在该依序循环的步骤之后,将该基板暴露于第三剂量的Si2H6前驱物。
在另一个实施方式中,提供一种基板处理方法。该方法包括以下步骤:将该基板定位在具有腔室壁的反应腔室中的加热器上,将加热器上的基板加热到第一温度,将腔室壁保持在小于第一温度的第二温度,以及将基板的含硅表面暴露于氢。将该基板暴露于第一剂量的MoF6前驱物,将该基板暴露于第二剂量的Si2H6前驱物,依序循环将该基板暴露于第一剂量的步骤及将该基板暴露于第二剂量的步骤,并在该依序循环的步骤之后,将该基板暴露于第三剂量的Si2H6前驱物。
在又另一个实施方式中,提供了一种基板处理方法。该方法包括以下步骤:将该基板加热到第一温度,将该基板的含硅表面暴露于含氢等离子体,将该基板暴露于第一剂量的MoF6前驱物,及将该基板暴露于第二剂量的Si2H6前驱物。依序循环将该基板暴露于第一剂量的步骤及将该基板暴露于第二剂量的步骤,在该依序循环的步骤之后,将该基板暴露于第三剂量的Si2H6前驱物,以及在将该基板暴露于第三剂量之后,在约500℃至约550℃之间的第二温度下对基板退火。
附图说明
本专利或申请文件包含至少一个彩色图式。具有彩色图式的本专利或专利申请案的副本将在请求和支付必要费用后由主管局提供。
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及本公开内容的更特定描述,可通过参照实施方式来获得,实施方式中的一些实施方式绘示于附图中。然而,值得注意的是,所附图式只绘示了示范实施方式,因而不应视为对本发明的范围的限制,可允许其他等同有效的实施方式。
图1A图示根据本文所述的实施方式的硅基板上MoSix膜选择性的X光光电子能谱(XPS)数据。
图1B图示根据本文所述的实施方式的在氧氮化硅基板上的MoSix膜选择性的XPS数据。
图2A图示根据本文所述的实施方式的硅基板上的Si和Mo的XPS氧化态数据。
图2B图示根据本文所述的实施方式的硅基板上的Si和Mo的XPS氧化态数据。
图3A图示根据本文所述的实施方式在ALD处理之前存在于不同基板类型上的各种元素的XPS化学成分数据。
图3B图示根据本文所述的实施方式在5个ALD循环后存在于不同基板类型上的各种元素的XPS化学成分数据。
图3C图示根据本文所述的实施方式在额外的ALD循环之后存在于不同基板类型上的各种元素的XPS化学成分数据。
图4A图示根据本文所述的实施方式在ALD处理之前存在于不同基板类型上的各种元素的XPS化学成分数据。
图4B图示根据本文所述的实施方式在5个ALD循环之后存在于不同基板类型上的各种元素的XPS化学成分数据。
图4C图示根据本文所述的实施方式在退火工艺之后图4B的基板的XPS化学成分数据。
图5A图示根据本文所述的实施方式在Ar溅射之后的MoSix膜的XPS深度剖面测定(depth profiling)数据。
图5B图示根据本文所述的实施方式的MoSix膜的XPS化学成分数据。
图5C图示根据本文所述的实施方式的表示MoSix膜的化学成分对时间的数据。
图6A图示根据本文所述的实施方式在Ar溅射之后的MoSix膜的XPS深度剖面测定数据。
图6B图示根据本文所述的实施方式的MoSix膜的表面成分数据。
图6C图示根据本文所述的实施方式的图6B的MoSix膜的主体(bulk)成分资料。
图6D图示根据本文所述的实施方式的表示MoSix膜的化学成分对时间的数据。
图7是根据本文所述的实施方式的优先于基板上存在的其他材料而选择性地沉积在硅上的MoSix膜的穿透式电子显微(TEM)截面图。
为了便于理解,尽可能地,使用相同的附图标号标示图式中共通的相同元件。考虑到,类没有进一步描述下一个实施方式中的元件与特征可有利地运用于其他实施方式中。
具体实施方式
本文所述的实施方式包括使用ALD前驱物对于区域选择性沉积的依赖基板的反应性的方法。更具体言之,本公开内容的实施方式涉及使用MoF6和Si2H6的基板选择性,MoSix在Si上优先于SiO2、SiON和SiNx的选择性沉积。为了获得化学计量的MoSi2膜,在MoF6和Si2H6的ALD循环之后通过将Si2H6加到富Mo的MoSix膜上来施行将额外的Si结合到膜中。
经由在约120℃的温度下使用MoF6和Si2H6前驱物的原子层沉积(ALD)实现MoSix在Si上优先于SiO2和SiNx的高度选择性沉积。通过反应物(MoF6和Si2H6)与含SiO2和SiNx的基板之间缺乏化学反应性,能够实现沉积选择性。相比之下,MoF6以自限制方式在H-封端的Si上成核,以及随后的Si2H6暴露将MoFx还原为Mo0,这与Mo-Si键结的形成一致。
X射线光电子能谱(XPS)显示,MoF6和Si2H6的5个ALD循环选择性地在Si基板上沉积次化学计量(sub-stoichiometric)的MoSi2膜。在ALD工艺中,以重复方式依序循环MoF6和Si2H6前驱物,其中在每次连续的前驱物暴露之间施行净化。在次化学计量的MoSi2膜上额外的Si2H6剂量将更多的Si结合到膜中而不会干扰对于SiO2和SiNx的沉积选择性。在一个实施方式中,主体MoSix膜的Si:Mo的比率为约1.7至约1.9,其中F和O杂质少于约10%。相信本文所述的实施方式优于传统用于硅化物材料形成的高压Si ALD循环,例如,在源极/漏极接触结构的形成中。
根据本文所述的实施方式,在包含三维(3D)纳米级SiO2和SiNx特征的图案化Si基板上分析MoSix的沉积选择性。透射式电子显微镜(TEM)截面表示在纳米级3D结构上实现选择性MoSix沉积。在一个实施方式中,在SiO2上存在少于约10个核/μm2;由于SiO2具有约107/μm2的OH基团,这相当于SiO2上的OH基团和Si上的Si-H基团之间的选择性为约107:1。因此,据信硅化物沉积的依赖基板的选择性能够消除钝化剂(即SAM)的使用。
实验
各种基板类型用于本文所述的MoSix硅化物形成工艺。使用四种类型的基板:P型Si(100)(掺杂硼,可从维吉尼亚州的Fredericksburg的Virginia Semiconductor公司取得)、在Si(100)上热生长的SiO2(可从麻州南波士顿(South Boston)的University Wafer公司取得)、SiON(可从加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)取得)以及在单个基板上具有Si、SiO2和SiNx材料表面的图案化基板。除非另有说明,否则本文所述的SiON(氧氮化硅)是Si3N4,其在制造期间已经在氧气中经受反应离子蚀刻和等离子体灰化。因此,SiON基板包含氧,其在集成的3D纳米级器件中处理之后类似于Si3N4的条件。
将基板切成12mm×3mm的片并用丙酮、甲醇和去离子(DI)H2O清除油渍(degrease)。藉由将清除过油渍的基板浸入0.5%HF(aq)溶液中30秒来去除Si上的原生氧化物。为了在清洁过程中保持一致,对SiO2、SiON和图案化的基板施行相同的清洁过程。亦可预期可使用基于等离子体的原生氧化物去除工艺。例如,可利用NF3/H2和/或NF3NH3等离子体清洁工艺来清洁基板的含硅表面并以氢封端(hydrogen terminate)基板的含硅表面。在SiON基板上,相信NF3等离子体处理通过钝化活性羟基成核位点来防止或实质上降低沉积选择性损失。在某些实施方式中,原生氧化物去除工艺是可从加州圣克拉拉市的应用材料公司取得的
Figure BDA0002289631810000051
预清洁工艺。
使用高纯度N2气体来吹干(blow-dried)基板。将Si、SiO2、SiON和图案化的基板一起装载在单个基板支架上,以使基板暴露于相同的ALD条件。将基板装载到由涡轮分子泵泵送(pumped)并由机械泵支撑的负载锁定腔室中。负载锁定的本底压力约为2.0×10-7Torr。接着,将该基板原位移送到由离子泵和钛升华泵泵送的本底压力为约3.0×10-10Torr的超高真空腔室中。超高真空腔室配备有单色光XPS设备(XM 1000MkII/SPHERA,可从柯罗拉多州丹佛市的Scienta Omicron,Inc.公司取得)、扫描穿隧式显微镜(STM)(可从柯罗拉多州丹佛市的Scienta Omicron,Inc.公司取得)和使用热解氮化硼(PBN)加热器的退火系统。
首先在超高真空腔室中在120℃下对基板进行退火,并使用XPS测定基板的化学成分。将基板原位移送到本底压力(base pressure)为约5.0×10-7Torr的反应腔室中。对于MoSix沉积,使用MoF6(99%纯度,可自佛州Alachua的Synquest Laboratories取得)和Si2H6(99.99%纯度,可自德州休斯敦的Air Liquide USA,LLC取得)前驱物。
在ALD循环期间,使用恒定的N2(80mTorr)净化,且使用泄漏阀控制该净化的压力。使用由LabView软件(可从德州奥斯汀的国家仪器(National Instruments)取得)控制的气动阀来调节MoF6和Si2H6剂量。MoF6和Si2H6剂量采用膨胀体积。使用膨胀体积包括用MoF6或Si2H6填充次级体积(secondary volume)并从它们各自的次级体积配分前驱物的剂量。MoF6的填充时间为约10ms至约10ms之间,诸如约40ms。MoF6的剂量时间为约10ms至约100ms之间,如约50ms。Si2H6的填充时间为约1ms和约50ms之间,诸如约18ms。Si2H6的剂量时间为约1ms至约50ms之间,诸如约18ms。
用朗格谬尔(Langmuirs(L))计算MoF6和Si2H6的暴露,其中1L=1×10-6Torr×1秒。使用反应腔室中的convectron gauge真空计监控暴露期间的压力峰值。剂量为约1.8MegaL的MoF6以及约4.2MegaL的Si2H6,剂量之间的等待时间为2分钟。使用PBN加热器加热基板,并将温度保持在约100℃至约150℃之间的温度,诸如约120℃。腔室壁保持在约65℃至约85℃之间的温度。在一个实施方式中,MoF6剂量为约1.0MegaL至约10MegaL之间。在另一个实施方式中,Si2H6剂量为约1.0MegaL至约10MegaL之间。
在沉积循环之后,将该基板原位移送到超高真空腔室中以用于XPS和STM分析。对于XPS量测,由Al Kα阳极(1486.7eV)产生X射线。使用恒定分析器能量(CAE)取得XPS数据,其中步宽为0.1eV,通过能量(pass energy)为50eV。将XPS检测器定位在与基板法线成60°(与基板表面成30°的起飞角(take-off angle)),其中检测器接收角(detector-acceptance angle)为7°。在使用Casa XPS v.2.3程序将每个峰区域与其相应的相对敏感度因子校正后,分析XPS光谱。这项工作中的所有化学成分全都标准化为所有成分的总和。使用-1.8V的基板偏压和200pA的恒定电流施行扫描穿隧式显微镜检查。
为了研究膜的主体的元素组成,Ar+溅射与XPS一起施行。采用5kV的透镜电压,以及在6.0×10-7Torr的Ar下,束电流为1.2μA;由于光栅用于覆盖整个基板区域,因此电流密度约为1.2uA/50mm2。在溅射期间将MoSix基板保持在25℃以使任何热解吸最小化。
结果
图1A图示在120℃下连续剂量的MoF6和Si2H6之前和之后,经HF清洁过的Si表面的XPS化学成分的数据。在经HF清洁过的Si基板上在120℃下使用两组5.4MegaL的MoF6。XPS显示Mo的饱和度为16%。然后,在120℃下配分剂量为4.2MegaL的Si2H6和额外的42MegaL的Si2H6到MoF6饱和的Si表面上,导致Si59%的饱和。在一个实施方式中,MoF6的剂量为约1MegaL至约10MegaL之间。在另一个实施方式中,SI2H6被配分剂量为约1MegaL至约10MegaL。在另一个实施方式中,额外的Si2H6被配分剂量为约20MegaL至约50MegaL之间。
在HF清洁之后,所有Si处于氧化态为0,其中有9%O和12%C污染。污染被认为是由在基板移送到真空期间的偶然烃吸附引起的。HF(aq)用于消除Si上的原生氧化物并使Si表面为H封端。应注意的是,图1中的Si 2p数据表示Si的总量,而Si(0)数据表示处于0的氧化态的Si的量。
在120℃下5.4MegaL的MoF6之后,在经HF清洁过的Si表面上沉积14%Mo和38%F。在120℃下额外配分剂量为5.4MegaL的MoF6后,Mo浓度从14%增加到16%,以及F浓度从38%增加到42%。在额外的5.4MegaL的MoF6之后,Mo和F含量的这种小量增加表示在经HF清洁过的Si上MoF6的反应是自限制的(self-limiting)。在用MoFx使Si表面饱和之后,F/Mo的比率为2.6且所有的Si都处于0的氧化态。连续施用4.2MegaL的Si2H6和42MegaL的Si2H6表示Si2H6反应也使MoFx覆盖的Si表面上饱和。据信对于较厚的次化学计量的MoSi2膜,可以将额外的Si结合到表面上。然而,Si2H6以自限制方式在较薄的(单层)Mo膜上反应。
在Si2H6饱和后,Si含量为59%,且F降低至10%。由于基板是Si,因为发生了F解吸,因此在配分Si2H6的剂量之后Si含量的这种增加可以部分地归因于基板。然而,观察到在配分Si2H6的剂量后Mo的衰减,这与Si的沉积一致。MoF6和Si2H6在H-封端的Si上的反应证明了MoSix ALD在Si-H封端的Si上的可能性。
图1B图示上文关于图1A描述但在SiON基板上的相同系列的MoF6和Si2H6饱和剂量的XPS化学成分数据。如图所示,未观察到反应。应该注意的是,虽然SiON基板标称上是SiON,但XPS在表面上仅显示出可忽略量的N,因此该基板主要是离子损伤(ion damaged)的SiOx。在前3个脉冲的MoF6之后,观察到8%F和可忽略的Mo(<1%)。对于其余的饱和剂量,SiON表面保持对MoF6和Si2H6都不起反应。虽然本研究中使用的SiON是离子损伤的,但Si处于+3和+4的氧化态,且数据与强Si-O、Si-N、SiO-H键一致,因此实质上排除了Si与Mo形成键结。
图2A和2B图示用于经HF清洁过的Si基板的Si 2p和Mo 3d的XPS光谱,以比较每次实验操作的氧化态。图2A图示在连续的MoF6和S2H6的剂量之后的Si 2p峰值,其显示在120℃(蓝线)下在10.8MegaL的MoF6之后Si保持在0的氧化态,这与Mo-Si键结形成且没有通过F的Si蚀刻一致。在120℃下施用4.2MegaL的Si2H6(红线)之后,大部分Si维持在0的氧化态。这与单层MoSi2的形成一致。在较高的结合能下出现小的氧化Si峰值,其在表面处可能是SiHxF4-x(x=2或3)或SiOx。图2B图示在连续的MoF6和S2H6的剂量之后的Mo 3d峰值,其显示在MoF6(黑色和蓝色线)的饱和剂量之后Mo 3d峰值存在于多个氧化态。在Si2H6的剂量(红线)之后,所有Mo都被还原且峰值集中在227.4eV,这与MoSi2形成一致。
在第一个5.4MegaL的MoF6之后,Si 2p峰值维持在0的氧化态,这与Si-Mo键结形成一致。Mo 3d峰值出现在多个氧化态,这表示表面物质是MoFx,其中x=4、5和6(黑线)。额外的5.4MegaL的MoF6没有改变Si 2p或Mo 3d峰值的氧化态(蓝线)。这些数据表示Si-Mo-Fx在表面形成。值得注意的是,施用MoF6饱和剂量后的F/Mo比为2.6(图1A XPS资料),而Mo的氧化态为4-6;因此,据信有一些Mo-O键形成。在4.2MegaL的Si2H6剂量(红线)之后,在Si 2pXPS峰值上出现较高结合能(103eV)的小肩峰。这与Si-F或Si-O的形成一致。Mo3d光谱表示,在单次Si2H6剂量之后,所有Mo都被还原为Mo0,其中结合能为227.4eV。这与单层MoSix的形成以及任何残余的氧或氟以Si-O和Si-F键的形式从Mo移送到Si是一致的。MoF6和Si2H6的简化反应可描述为:
MoF6(g)+1.5Si2H6(g)→MoSi2(s)+SiF4(g)+3.5H2(g)+2HF(g)
经由在图案化基板上MoSix的沉积的XPS验证Si基板上的MoSix的ALD特性和对SiO2和SiNx基板的选择性。图3A图示一组三个基板的化学成分:经HF清洁过的Si、经HF清洁过的SiO2和经HF清洁过的图案化基板。图3B图示在120℃下MoF6和Si2H6的5个ALD循环之后图3A基板中的的各基板的化学成分。该数据表示缺乏Si的MoSix选择性地沉积在Si上而不是在SiO2上。图案化样本的Si0成分也通过MoSix沉积选择性地衰减。图3C图示在额外的25.2MegaL(在3个脉冲和10个脉冲之间)的Si2H6之后的图3B基板中的各基板的化学成分。额外的Si2H6将Si结合到MoSix表面上。在额外的Si2H6脉冲期间保持对SiO2的选择性(在整个ALD工艺中SiO2具有0%Mo和0%SiO2)。
将三个基板一起装载在单个基板支架上,以确保它们暴露于相同的沉积条件。Si和SiO2基板允许在图案化基板上沉积期间验证选择性。图案化基板具有在Si基板顶部由SiNx夹在中间的SiO2层。应注意的是,图案化基板上的SiNx实际上是SiON,因为它在制造期间被离子损伤且在O2中灰化。如图3A所示,30秒(30s)的HF清洁去除Si上的原生氧化物。热生长的SiO2为300nm厚,30秒的HF清洁没有改变SiO2的元素组成或氧化态。经HF清洁过的图案化基板由SiNx、SiOx和Si0的混合物组成。
如图3B所示,在120℃下MoF6和Si2H6的5个ALD循环后施行XPS。XPS显示出在Si基板上32%Mo和10%Si的表面组成,其对应于高度缺乏Si的MoSix。在SiO2基板上没有MoSix沉积,与高选择性ALD一致。在图案化基板上,XPS显示沉积了5%的Mo,且Si0被衰减至1%。在图案化基板上的ALD期间,表面处的N和O的比例没有显著变化。该数据与在图案化基板上6%的Si0上缺乏Si的MoSix沉积选择性一致。
图案化基板上的沉积选择性与本文所述实施方式的三个方面一致:(1)MoSix沉积在Si基板上但不沉积在SiO2基板上。(2)在MoSix沉积之后,在图案化基板上Si0(不是来自Si-N和Si-O的较高氧化态Si峰值)被衰减。(3)在数值上,在具有6%Si0的图案化基板上的约4%Mo沉积与在经HF清洁过的表面上具有54%Si0的Si基板上具有32%Mo成正比(proportional)。
即使在图1和图2中描述的ALD饱和实验中能够在Si上沉积单层MoSi2,但是连续的ALD循环也不会产生化学计量的MoSi2。据信缺乏Si的MoSix的形成是由于氟硅烷消除过程中表面Si-H物质解吸以及由于通过标准Si2H6的配分剂量所不易去除的残留Mo-F键。对于前1-3个单层,存在来自基板的过量Si以帮助氟解吸,但是对于较厚的膜,Mo-F表面键可能持续存在,因为唯一可用的Si来自气态Si2H6。使用MoF6和Si2H6的整个氟硅烷消除化学与两种化学反应中的一种一致:
1:MoF6(g)+Si2H6(g)→Mo(s)+2SiHF3(g)+2H2(g)
2:2MoF6(g)+1.5Si2H6(g)→2Mo(s)+2SiF4(g)+SiHF3(g)+3.5H2(g)+2HF(g)
为了形成MoSi2,在120℃下将三个基板暴露于额外的25.2MegaL(3脉冲至10脉冲之间,如6脉冲)的Si2H6(见图3C)。在额外的Si2H6暴露之后,Si基板上增加到20%的Si与结合到膜中或基板表面上的Si一致。额外的Si2H6剂量没有降低Si上的沉积对SiO2上的沉积的选择性。
图4A-4C图示具有后沉积退火的经HF清洁过的Si、SiO2和SiON上的选择性MoSix沉积的XPS化学成分数据。图4A图示在HF清洁后的Si、SiO2和SiON基板的XPS化学成分。图4B图示XPS化学成分数据,其显示在MoSix的5个ALD循环接着在120℃下额外的6个脉冲(25.2MegaL)的Si2H6之后,MoSix仅选择性地沉积在Si上。图4C图示在520℃下施行3分钟的后沉积退火(PDA)的基板的XPS化学成分数据。如图所示,PDA从MoSix膜中去除F并将Mo还原为Mo0
图4A图示在HF清洁之后SiON表面主要由SiNx组成。在5个循环MoSix的ALD之后接着加入25.2MegaL的Si2H6,在经HF清洁过的Si上有24%的Mo和18%的Si,而在SiOx和SiNx表面上检测到少于1%的Mo,如图4B所示。随后,将三个基板在520℃下退火3分钟,这使Si基板上的F从25%减少到3%。520℃的PDA还将Si基板上的Mo还原成Mo0,且在表面处将Si:Mo比从约0.75减少到约0.5。这与SiHF3或SiF4形式的表面F的解吸一致。PDA的XPS分析表示通过PDA从膜上去除F,这降低了F扩散到相邻MOSFET器件结构中的可能性。
使用原位STM和异位(ex-situ)原子力显微镜(AFM),在Si和SiO2基板上沉积及PDA之后研究表面拓朴(topographies)。在20个循环的MoF6和Si2H6之后,为原位STM准备单独的经HF清洁过的Si基板。STM数据表示MoSix膜是原子级平坦的且为保形的,其中RMS粗糙度约为
Figure BDA0002289631810000101
将上述基板在500℃下在超高真空腔室中在约5.0×10-10Torr的压力下原位退火3分钟。在500℃退火后,膜变得更平坦,RMS粗糙度约为
Figure BDA0002289631810000102
在120℃下5个ALD循环后接着原位550℃退火之后,MoSix/HF清洁过的Si的另一基板被带入异位熔炉中,以用于在5%H2与N2平衡的(5%H2 balanced with N2)900℃尖峰退火。在900℃尖峰退火之后,使用AFM来取得表面形态。该膜保持亚纳米级RMS粗糙度
Figure BDA0002289631810000103
表示MoSix膜具有高达约900℃的高热稳定性。
在120℃下配分剂量5个ALD循环接着进行原位550℃退火3分钟之后,为了确认选择性,通过计算基板表面上的核数来施行SiO2基板表面的异位AFM图像数据。核的密度约为9个核/μm2,这证明了Si沉积优于SiO2。据信通过控制反应腔室的壁温和通过使用短的高压Si2H6脉冲和更长的净化循环来促进ALD并避免CVD沉积方案,进一步改善了本文所述实施方式的高沉积选择性。
还施行了深度剖面研究以确定MoSix膜的内部组成。图5A图示在120℃下五个循环的MoF6和Si2H6接着经HF清洁过的Si上施行Ar+溅射之后的XPS化学成分数据。图5B图示在依序Ar+溅射之后Si 2p的XPS峰值,其结果显示MoSix膜的主体主要由SiO组成。图5C图示在120℃下在5个循环的MoF6和Si2H6之后,沉积膜的化学成分数据相对于Si的Ar+溅射时间的曲线图。
图5A中所示的XPS数据源于在120℃下使用5个ALD循环的MoF6和Si2H6沉积在经HF清洁过的Si基板上的MoSix膜,而没有额外的Si2H6结合。随着溅射时间的增加,MoSix膜变薄,直到暴露下面的Si基板。前10分钟的溅射使F从35%降低到8%,而Mo从氧化的Mo和Mo0的混合物转变为纯Mo0。该数据与主要和Mo键结的表面F一致。
在连续的溅射循环之后,Si的量增加且Mo的量减少。此外,Si0的量与总Si一起增加,以及在总溅射时间100分钟后达到最大值43%。使用Si0与Mo0的比率来区分纯MoSix相,因为在纯MoSix相中,Mo和Si彼此键结且氧化态为0。在基板表面处去除氧化硅和MoFx物质后,SiO的百分比超过Mo0的百分比。MoSix膜的主体中的Si0:Mo0比为1.41,其对应于缺乏Si的MoSix膜。应注意的是,在膜的中心,Si:Mo比为1.77,因此,在没有背景O2/H2O的情况下,Si0:Mo0的比率可能更接近2。
图5B图示对应于图5A的每个XPS量测的Si 2p的原始(raw)XPS光谱。在第4次溅射循环后,99.2eV的Si峰值增加并扩展到更高的结合能。相比之下,在每个溅射循环之后,Mo峰值的能量对应Mo0。因此,据信主体MoSix膜主要是MoSix形式的Si0和Mo0,而顶表面和底部界面富含SiOx。顶部SiOx与来自腔室环境的污染一致,而底部界面的氧化物与未完成(imperfect)的异位HF清洁一致。
底部界面处的次化学计量氧化物没有影响沉积和膜质量,这表示MoSixALD的选择性对SiO2的质量是敏感的。图5C图示来自图5A中的XPS量测取得的化学成分的百分比。在第二次溅射循环(总溅射时间40分钟)后,F降低至3%以下且最终达到0%。膜的主体中的O<10%但在MoSix-Si界面处缓慢增加至15%,这与界面氧化物层的存在一致。
为了理解额外的Si2H6剂量对MoSix膜的Si:Mo比的影响,在具有额外Si加入的MoSix膜上施行XPS深度剖面测定(depth profiling)。在120℃下5个ALD循环的MoF6和Si2H6结束之后配分额外的6个脉冲(25.2MegaL)的Si2H6剂量,接着在530℃下在干式清洁过的Si上退火3分钟。本文所述的后退火干式清洁工艺使用NF3和NH3的等离子体,其中Ar作为载气。
图6A-6D图示在暴露于额外的Si2H6剂量后MoSix膜的XPS剖面数据。图6A图示Ar+溅射经如下步骤之后的干式清洁过的Si的XPS化学成分数据:在5个MoF6和Si2H6的循环之后接着在120℃下加入额外的6个脉冲(25.2MegaL)的Si2H6。图6B图示在具有和不具有额外Si2H6脉冲情况下,在5个MoF6和Si2H6的ALD循环之后的XPS表面成分数据。对于5个ALD,Si:Mo比率为0.33,对于5个ALD+6×Si2H6,Si:Mo比率为0.89,这与在表面上加入Si一致。图6C图示在使用Ar+溅射去除表面污染物之后具有以及不具有额外的Si2H6脉冲的情况下MoSix的XPS主体成分数据。对于5个ALD,Si:Mo比率为1.77,对于5个ALD+6×Si2H6,Si:Mo比率为1.96。图6D图示相对于Si上的Ar+溅射时间而绘示的经如下步骤之后的MoSix膜的XPS化学成分数据的曲线图:在5个MoF6和Si2H6的循环之后,接着在120℃下额外的Si2H6脉冲。
图6A显示了在干式清洁过的基板上每个操作施行之后的一系列深度剖面XPS。在6×Si2H6/5个ALD循环之后,在基板表面上有28%F、20%Si和28%Mo。在530℃下退火后,表面上的F大部分被去除,且Mo全部被还原为Mo0,这与图4C中所示的F从表面解吸一致。在此操作中Si:Mo比率为0.89。相比之下,没有额外的Si2H6剂量的MoSix膜的Si:Mo比率仅为0.33,如图6B所示。
去除表面氧化物污染后,对于具有额外Si2H6脉冲的MoSix,主体中的Si0:Mo0为1.32(Si:Mo=1.96)。这与如图6C所示在没有额外的Si2H6加入的MoSix主体中Si0:Mo0=1.41(Si:Mo=1.77)相当(comparable)。因此,据信在ALD循环之后,额外的Si2H6脉冲增加缺乏Si的MoSix表面处的Si含量。相比之下,MoSix膜主体中的Si:Mo比率接近化学计量的MoSi2。图6D示出每种化学成分的XPS百分比为Ar+溅射时间的函数,其与MoSix膜的主体中的MoSix形成一致。
在一个实施方式中,使用气动阀将4.2MegaL的Si2H6引入反应腔室达6秒的持续时间。Si2H6处理特性使用在比传统的Si2H6的配分剂量参数的约1/10更短的配分剂量持续时间的范围内约3倍多的Si2H6暴露。因此,与传统的配分剂量方案相比,本文所述的实施方式在ALD配分剂量期间利用30倍高的分压(30×higher partial pressure)。据信在配分剂量期间30倍高的瞬时压力能够使得前驱物介导的Si2H6化学吸附层在表面上保留足够长的时间以与Mo反应,用以将更多的Si结合到MoSix膜中。据信加入Si是自限性的,这使得MoSix的生长速率能够有约1.2nm/循环。
使用4点探针量测来量测MoSix膜的电阻。对于电量测,使用具有>10000ohm·cm电阻的未掺杂的(updoped)Si(001)作为基板。对于电量测,在120℃下10个MoSix ALD循环沉积在经HF清洁过的固有(intrinsic)(半绝缘)Si基板上,接着原位550℃退火3分钟,以及在5%H2与N2平衡中进行900℃尖峰退火。沉积Ni点作为探针接触。电阻为110欧姆,且使用无限板近似,电阻率计算如下:
p=ktRmax=(4.53)×(10×10-7)×(110)=498μΩcm
其中k是常数,t是厚度,以及Rmax是所量测的最大电阻。
在图案化基板施行截面TEM研究,以确认MoSix在纳米结构图案上的选择性。图7是MoSix/HF清洁过的图案化基板的截面TEM图像。在经HF清洁过的图案化基板上,在120℃下5个循环的MoSix ALD,接着额外配分剂量为25.2MegaL的Si2H6。在每个沉积步骤中此基板的元素组成示于图3A-3C中。TEM图像显示MoSix在Si上沉积的完全选择性,但没有在SiNx也没有在SiO2上。在5个ALD循环之后,接着额外的25.2MegaL,在Si上沉积的MoSix膜的厚度为约6.3nm,其实现了约1.2nm/循环的生长速率。由于每个MoSix ALD循环的生长速率,相信5个ALD循环足以用于接触材料和接触器件结构。
经由对氢封端的Si相对于热生长的SiO2、离子损伤的SiON和SiNx的选择性工艺实现次化学计量的MoSi2的选择性原子层沉积。此选择性基于MoF6和Si2H6在H-Si上的有利(favorable)反应性,但不是在SiO2或SiNx上,因为Si-O、Si-N和SiO-H键足够强,以至于它们在120℃下不会被前驱物切断(cleave)。MoF6和Si2H6都显示出自限性行为,这允许沉积高度保形和光滑的膜,其中均方根(RMS)粗糙度为
Figure BDA0002289631810000131
在超高真空中在约500℃至550℃之间的温度下施行PDA达3分钟,进一步将RMS粗糙度减少至
Figure BDA0002289631810000141
即使在H2/N2环境中的900℃尖峰退火后,MoSix薄膜的质量也得以保持,这与高热稳定性一致。
深度剖面测定XPS研究表示,MoSix膜的主体接近化学计量MoSi2(Si:Mo=1.7-1.9),其中氧和氟<10%。在5个ALD循环之后,MoSix膜的表面显示出高度缺乏Si的MoSix表面,其中Si:Mo比为0.33,且通过脉冲额外的Si2H6将表面处的Si:Mo比提高到0.89。截面TEM成像显示选择性维持在纳米级,且MoSix可以选择性地沉积在Si上而没有基板消耗。
约1.2nm/循环的MoSix膜生长速率使得少于10个ALD循环(如5个ALD循环)对于用作接触材料的该MoSix膜而言是足够的。因此,与传统的ALD工艺相比,通过利用本文所述的实施方式来增加工艺产量。据信选择性MoSix沉积消除或实质减少了对复杂3D MOSFET结构(如FinFET)的微影术工艺的依赖。Si-H键选择性对SiO-H键选择性超过106。因此,即使不使用额外的钝化层,也可以在纳米级上实现高选择性。本文描述的实施方式亦说明,通过在还原剂的ALD脉冲期间改变分压,可以容易地切换硅化物对金属的ALD,同时保持选择性。
虽然前述针对本公开内容的实施方式,但在不背离本公开内容的基本范围下,可设计本公开内容的其他与进一步的实施方式,且本公开内容的范围由随附的权利要求书来确定。

Claims (15)

1.一种基板处理方法,包括以下步骤:
将具有含硅表面的基板加热至第一温度;
将所述基板暴露于含氢的等离子体;
将所述基板暴露于第一剂量的MoF6前驱物;
将所述基板暴露于第二剂量的Si2H6前驱物;
依序循环将所述基板暴露于第一剂量的步骤及将所述基板暴露于第二剂量的步骤;及
在所述依序循环的步骤之后,将所述基板暴露于第三剂量的Si2H6前驱物。
2.如权利要求1所述的方法,进一步包括以下步骤:
在将所述基板暴露于第三剂量之后,在500℃至550℃之间的第二温度下对所述基板退火。
3.如权利要求1所述的方法,其中所述第一温度在100℃至150℃之间。
4.如权利要求1所述的方法,其中所述依序循环的步骤施行少于10次。
5.如权利要求4所述的方法,其中所述依序循环的步骤施行5次。
6.如权利要求1所述的方法,其中所述含氢的等离子体由选自以下项组成的群组中的前驱物形成:NF3、NH3和H。
7.如权利要求1所述的方法,其中在所述依序循环的步骤期间施行使用N2的氮气净化工艺。
8.如权利要求1所述的方法,其中所述第一剂量施行10ms至100ms之间的持续时间,及所述第二剂量施行1ms至50ms之间的持续时间。
9.如权利要求8所述的方法,其中所述第一剂量包括1MegaL至10MegaL之间的MoF6流动速率,所述第二剂量包括1MegaL和10MegaL之间的Si2H6流动速率,及所述第三剂量包括20MegaL和50MegaL之间的Si2H6流动速率。
10.一种基板处理方法,包括以下步骤:
将所述基板定位在反应腔室中的加热器上,所述反应腔室具有腔室壁;
将所述加热器上的所述基板加热到第一温度;
将所述腔室壁保持在低于所述第一温度的第二温度;
将所述基板的含硅表面暴露于氢;
将所述基板暴露于第一剂量的MoF6前驱物;
将所述基板暴露于第二剂量的Si2H6前驱物;
依序循环将所述基板暴露于第一剂量的步骤及将所述基板暴露于第二剂量的步骤;及
在所述依序循环的步骤之后,将所述基板暴露于第三剂量的Si2H6前驱物。
11.如权利要求10所述的方法,其中所述第一温度在100℃和150℃之间,及所述第二温度在65℃和85℃之间。
12.如权利要求10所述的方法,其中所述第一剂量包括1MegaL和10MegaL之间的MoF6流动速率,所述第二剂量包括1MegaL和10MegaL之间的Si2H6流动速率,及所述第三剂量包括20MegaL和50MegaL之间的Si2H6流动速率。
13.如权利要求10所述的方法,其中,暴露所述基板的含硅表面的步骤包括以下步骤:将所述含硅表面暴露于以下项之一:来自NF3/H2气体的等离子体或来自NF3/NH3气体的等离子体。
14.如权利要求10所述的方法,进一步包括以下步骤:
以每个连续循环1.2nm的生长速率将包含MoSi2的MoSix膜选择性地沉积在所述含硅表面上。
15.一种基板处理方法,包括以下步骤:
将所述基板加热到第一温度;
将所述基板的含硅表面暴露于含氢等离子体;
将所述基板暴露于第一剂量的MoF6前驱物;
将所述基板暴露于第二剂量的Si2H6前驱物;
依序循环将所述基板暴露于第一剂量的步骤以及将所述基板暴露于第二剂量的步骤;及
在所述依序循环的步骤之后,将所述基板暴露于第三剂量的Si2H6前驱物;及
在将所述基板暴露于第三剂量之后,在500℃至550℃之间的第二温度下对所述基板退火。
CN201880034866.0A 2017-05-26 2018-05-24 金属硅化物的选择性沉积 Active CN110945626B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762511521P 2017-05-26 2017-05-26
US62/511,521 2017-05-26
PCT/US2018/034482 WO2018218078A1 (en) 2017-05-26 2018-05-24 Selective deposition of metal silicides

Publications (2)

Publication Number Publication Date
CN110945626A true CN110945626A (zh) 2020-03-31
CN110945626B CN110945626B (zh) 2023-07-04

Family

ID=64397046

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880034866.0A Active CN110945626B (zh) 2017-05-26 2018-05-24 金属硅化物的选择性沉积

Country Status (5)

Country Link
US (1) US10475655B2 (zh)
JP (1) JP6989623B2 (zh)
KR (2) KR102455480B1 (zh)
CN (1) CN110945626B (zh)
WO (1) WO2018218078A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
KR20230139306A (ko) 2022-03-25 2023-10-05 에스케이스페셜티 주식회사 기판 처리 방법 및 이를 사용한 선택적 증착 방법
KR20240053803A (ko) 2022-10-18 2024-04-25 에스케이스페셜티 주식회사 기판 처리 방법 및 이를 이용한 영역 선택적 박막 증착 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61128521A (ja) * 1984-11-27 1986-06-16 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH02314A (ja) * 1987-08-24 1990-01-05 Fujitsu Ltd シリコン含有金属膜の形成方法
JPH05144710A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 光学素子及びその製造方法
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
CN101903984A (zh) * 2007-12-21 2010-12-01 应用材料股份有限公司 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US20170037513A1 (en) * 2015-08-03 2017-02-09 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07283168A (ja) * 1994-04-15 1995-10-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
JP6417051B2 (ja) * 2015-09-29 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61128521A (ja) * 1984-11-27 1986-06-16 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH02314A (ja) * 1987-08-24 1990-01-05 Fujitsu Ltd シリコン含有金属膜の形成方法
JPH05144710A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 光学素子及びその製造方法
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
CN101903984A (zh) * 2007-12-21 2010-12-01 应用材料股份有限公司 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US20170037513A1 (en) * 2015-08-03 2017-02-09 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
KR20170016310A (ko) * 2015-08-03 2017-02-13 에이에스엠 아이피 홀딩 비.브이. 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
D. SEGHETE等: "Molybdenum Atomic Layer Deposition Using MoF6 and Si2H6 as the Reactants", 《CHEMISTRY OF MATERIALS》 *
D. SEGHETE等: "Molybdenum Atomic Layer Deposition Using MoF6 and Si2H6 as the Reactants", 《CHEMISTRY OF MATERIALS》, 11 March 2011 (2011-03-11), pages 1668 - 1678 *

Also Published As

Publication number Publication date
WO2018218078A1 (en) 2018-11-29
KR102455480B1 (ko) 2022-10-18
US20180342395A1 (en) 2018-11-29
JP6989623B2 (ja) 2022-01-05
KR20210144949A (ko) 2021-11-30
JP2020522138A (ja) 2020-07-27
CN110945626B (zh) 2023-07-04
US10475655B2 (en) 2019-11-12
KR20200000457A (ko) 2020-01-02

Similar Documents

Publication Publication Date Title
TWI804706B (zh) 氧化矽之拓撲選擇性膜形成之方法
JP7420744B2 (ja) 浸透性材料に浸透させる浸透装置および方法
US10818510B2 (en) Self-assembled monolayer blocking with intermittent air-water exposure
TWI788311B (zh) 拓撲受限電漿增強循環沉積方法
JP2021064788A (ja) 酸化シリコンの形態選択的な膜形成方法
JP5005170B2 (ja) 超高品質シリコン含有化合物層の形成方法
TWI505364B (zh) 硬遮罩材料
TW201726965A (zh) 形成氮碳氧化矽薄膜的方法
TW201437414A (zh) 用以在敏感基板上沉積薄膜的方法
CN110945626B (zh) 金属硅化物的选择性沉积
Choi et al. Selective atomic layer deposition of MoSix on Si (0 0 1) in preference to silicon nitride and silicon oxide
US20190355617A1 (en) Atomic Layer Deposition For Low-K Trench Protection During Etch
US10553425B2 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
TWI833831B (zh) 金屬矽化物的選擇性沉積以及選擇性氧化物移除
TWI780157B (zh) 金屬矽化物的選擇性沉積
US10586707B2 (en) Selective deposition of metal silicides
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
Hatch Atomic Layer Processing and Surface Properties of Gallium Nitride and Gallium Oxide
Choi Area-selective Atomic Layer Deposition of Silicide and Oxides Using Inherent Substrate Dependent Processes
CN114597123A (zh) 包括非晶氮化硼膜的硬掩模和制造所述硬掩模的方法、以及使用所述硬掩模的图案化方法
Pena-Orduna In-situ FTIR study of the mechanisms of atomic layer deposition of silicon oxide

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant