KR20210144949A - 금속 규화물들의 선택적 증착 - Google Patents

금속 규화물들의 선택적 증착 Download PDF

Info

Publication number
KR20210144949A
KR20210144949A KR1020217038234A KR20217038234A KR20210144949A KR 20210144949 A KR20210144949 A KR 20210144949A KR 1020217038234 A KR1020217038234 A KR 1020217038234A KR 20217038234 A KR20217038234 A KR 20217038234A KR 20210144949 A KR20210144949 A KR 20210144949A
Authority
KR
South Korea
Prior art keywords
substrate
exposing
mof
mosi
megal
Prior art date
Application number
KR1020217038234A
Other languages
English (en)
Other versions
KR102455480B1 (ko
Inventor
레이몬드 헝
남성 김
스리니바스 네마니
엘리 이에
종 최
크리스토퍼 알레스
앤드류 쿰멜
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
더 리전트 오브 더 유니버시티 오브 캘리포니아
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드, 더 리전트 오브 더 유니버시티 오브 캘리포니아 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210144949A publication Critical patent/KR20210144949A/ko
Application granted granted Critical
Publication of KR102455480B1 publication Critical patent/KR102455480B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 개시내용의 실시예들은 선택적 금속 규화물 증착 방법들에 관한 것이다. 일 실시예에서, 규소 함유 표면을 갖는 기판이 가열되고, 규소 함유 표면이 수소 종결된다. 기판은 MoF6 전구체 및 Si2H6 전구체의 순차적 사이클들에 노출되고, 이후, 부가적인 Si2H6 과주입 노출이 후속되어, 기판의 규소 함유 표면 상에, MoSi2를 포함하는 MoSix 물질이 선택적으로 증착된다.

Description

금속 규화물들의 선택적 증착{SELECTIVE DEPOSITION OF METAL SILICIDES}
본 개시내용의 실시예들은 일반적으로, 금속 규화물 증착을 위한 방법들에 관한 것이다.
나노규모 디바이스들 상에서의 물질들의 정확한 위치결정은, 차세대 나노전자기기들에 대한 원자 규모 특성들의 조작에서 중요하다. 반도체 제조의 경우, 비용, 수율, 및 처리량에 대한 요구를 충족시키기 위해, 우수한 형상추종성 및 화학량론을 갖는 물질들의 상세한 위치결정이 활용된다. 금속-산화물-반도체 전계 효과 트랜지스터(MOSFET)가 10 nm 미만(<10 nm)의 채널 길이로 규모조정됨에 따라, 하향식 공정들로부터 비롯되는 제약들, 이를테면, 반응성 이온 식각으로부터의 손상 및 3차원(3D) 표면들 상에서의 구조 정렬의 구조적 복잡도를 극복하는 것이 바람직하다.
최근, MOSFET 디바이스들이 3D 구조들(FinFET들)로 제조됨에 따라, 형상추종적 막 품질을 유지하면서 이루어지는 나노규모 영역 선택적 증착에 대한 관심이 증가해 왔다. 영역 선택적 증착에 대한 하나의 접근법은, 원자 층 증착(ALD) 공정들과 조합하여 자기-조립 단층(SAM; self-assembled monolayer)들을 부동화 층들로서 활용하는 것이다. 부동화 층들이 ALD 전구체들에 대해 반응성인 표면 작용기들을 차단하거나 제거하므로 선택도가 획득될 수 있지만, SAM 접근법은 여전히 부동화 층의 선택적 증착을 활용한다. 또한, 부동화 층들은 선택적 증착 후에 선택적으로 제거되는데, 이는 부가적인 공정 복잡도를 필요로 하고 처리량을 감소시킨다.
따라서, 선택적 물질 증착을 위한 개선된 방법들이 관련 기술분야에 필요하다.
일 실시예에서, 기판 처리 방법이 제공된다. 방법은, 규소 함유 표면을 갖는 기판을 제1 온도로 가열하는 단계, 기판을 수소를 포함하는 플라즈마에 노출시키는 단계, 기판을 제1 주입분(dosage)의 MoF6 전구체에 노출시키는 단계, 및 기판을 제2 주입분의 Si2H6 전구체에 노출시키는 단계를 포함한다. 기판을 제1 주입분에 노출시키는 단계 및 기판을 제2 주입분에 노출시키는 단계는 순차적으로 사이클링되며, 순차적 사이클링 후에, 기판은 제3 주입분의 Si2H6 전구체에 노출된다.
다른 실시예에서, 기판 처리 방법이 제공된다. 방법은, 챔버 벽들을 갖는 반응 챔버 내의 가열기 상에 기판을 위치시키는 단계, 가열기 상의 기판을 제1 온도로 가열하는 단계, 챔버 벽들을 제1 온도 미만의 제2 온도로 유지하는 단계, 및 기판의 규소 함유 표면을 수소에 노출시키는 단계를 포함한다. 기판은 제1 주입분의 MoF6 전구체에 노출되고, 기판은 제2 주입분의 Si2H6 전구체에 노출되고, 기판을 제1 주입분에 노출시키는 것 및 기판을 제2 주입분에 노출시키는 것은 순차적으로 사이클링되며, 순차적 사이클링 후에, 기판은 제3 주입분의 Si2H6 전구체에 노출된다.
또 다른 실시예에서, 기판 처리 방법이 제공된다. 방법은, 기판을 제1 온도로 가열하는 단계, 기판의 규소 함유 표면을 수소 함유 플라즈마에 노출시키는 단계, 기판을 제1 주입분의 MoF6 전구체에 노출시키는 단계, 및 기판을 제2 주입분의 Si2H6 전구체에 노출시키는 단계를 포함한다. 기판을 제1 주입분에 노출시키는 단계 및 기판을 제2 주입분에 노출시키는 단계는 순차적으로 사이클링되며, 순차적 사이클링 후에, 기판은 제3 주입분의 Si2H6 전구체에 노출되고, 기판은, 기판이 제3 주입분에 노출된 후에, 약 500 ℃ 내지 약 550 ℃의 제2 온도에서 어닐링된다.
특허 또는 출원 파일은, 채색이 된 적어도 하나의 도면을 포함한다. 채색 도면(들)이 있는 본 특허 또는 본 특허 출원 공보의 사본들은, 요청 시 필요한 요금을 지불하면 특허청에 의해 제공될 것이다.
본 개시내용의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1a는 본원에 설명되는 실시예에 따른, 규소 기판 상의 MoSix 막 선택도의 X-선 광전자 분광법(XPS) 데이터를 예시한다.
도 1b는 본원에 설명되는 실시예에 따른, 산질화규소 기판 상의 MoSix 막 선택도의 XPS 데이터를 예시한다.
도 2a는 본원에 설명되는 실시예에 따른, 규소 기판 상의 Si 및 Mo의 XPS 산화 상태 데이터를 예시한다.
도 2b는 본원에 설명되는 실시예에 따른, 규소 기판 상의 Si 및 Mo의 XPS 산화 상태 데이터를 예시한다.
도 3a는 본원에 설명되는 실시예에 따른, ALD 처리 전에 상이한 기판 유형들 상에 존재하는 다양한 원소들의 XPS 화학 조성 데이터를 예시한다.
도 3b는 본원에 설명되는 실시예에 따른, 5 ALD 사이클 후에 상이한 기판 유형들 상에 존재하는 다양한 원소들의 XPS 화학 조성 데이터를 예시한다.
도 3c는 본원에 설명되는 실시예에 따른, 부가적인 ALD 사이클 후에 상이한 기판 유형들 상에 존재하는 다양한 원소들의 XPS 화학 조성 데이터를 예시한다.
도 4a는 본원에 설명되는 실시예에 따른, ALD 처리 전에 상이한 기판 유형들 상에 존재하는 다양한 원소들의 XPS 화학 조성 데이터를 예시한다.
도 4b는 본원에 설명되는 실시예에 따른, 5 ALD 사이클 후에 상이한 기판 유형들 상에 존재하는 다양한 원소들의 XPS 화학 조성 데이터를 예시한다.
도 4c는 본원에 설명되는 실시예에 따른, 어닐링 공정 후의 도 4b의 기판들의 XPS 화학 조성 데이터를 예시한다.
도 5a는 본원에 설명되는 실시예에 따른, Ar 스퍼터링 후의 MoSix 막의 XPS 깊이 프로파일링 데이터를 예시한다.
도 5b는 본원에 설명되는 실시예에 따른 MoSix 막의 XPS 화학 조성 데이터를 예시한다.
도 5c는 본원에 설명되는 실시예에 따른, 시간에 따른 MoSix 막의 화학 조성을 나타내는 데이터를 예시한다.
도 6a는 본원에 설명되는 실시예에 따른, Ar 스퍼터링 후의 MoSix 막의 XPS 깊이 프로파일링 데이터를 예시한다.
도 6b는 본원에 설명되는 실시예에 따른 MoSix 막의 표면 조성 데이터를 예시한다.
도 6c는 본원에 설명되는 실시예에 따른, 도 6b의 MoSix 막의 벌크 조성 데이터를 예시한다.
도 6d는 본원에 설명되는 실시예에 따른, 시간에 따른 MoSix 막의 화학 조성을 나타내는 데이터를 예시한다.
도 7은 본원에 설명되는 실시예에 따른, 기판 상에 존재하는 다른 물질에 대해 우선적으로 규소 상에 선택적으로 증착된 MoSix 막의 단면 터널링 전자 현미경사진(TEM)이다.
이해를 용이하게 하기 위해, 도면들에 공통된 동일한 요소들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들은 추가적인 열거가 없이도 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
본원에 설명되는 실시예들은, 영역 선택적 증착을 위해 ALD 전구체들의 기판 의존적 반응성을 활용하는 방법들을 포함한다. 더 구체적으로, 본 개시내용의 실시예들은, MoF6 및 Si2H6의 기판 선택도를 사용하여 SiO2, SiON, 및 SiNx에 대해 우선적으로 Si 상에 MoSix를 선택적으로 증착하는 것에 관한 것이다. 화학량론적 MoSi2 막을 달성하기 위해, MoF6 및 Si2H6 ALD 사이클들 후에 Mo 풍부 MoSix 막 상에 Si2H6을 주입함으로써 막 내로의 부가적인 Si 혼입이 수행되었다.
SiO2 및 SiNx에 대해 우선적으로 Si 상에 MoSix를 고도로 선택적으로 증착하는 것은, 약 120 ℃의 온도에서 MoF6 및 Si2H6 전구체들을 사용하는 원자 층 증착(ALD)을 통해 달성되었다. 증착 선택도는, 반응물들(MoF6 및 Si2H6)과 SiO2 및 SiNx 함유 기판들 간의 화학 반응성의 결여에 의해 가능해졌다. 대조적으로, MoF6은 H 종결된 Si 상에 자기-제한적 방식으로 핵형성되고, 후속 Si2H6 노출은 MoFx를 Mo0로 환원시켰으며, 이는 Mo-Si 결합 형성과 일치한다.
X-선 광전자 분광법(XPS)은, 5 ALD 사이클의 MoF6 및 Si2H6가 Si 기판 상에 아화학량론적 MoSi2 막을 선택적으로 증착한다는 것을 밝혀냈다. ALD 공정에서, MoF6 및 Si2H6 전구체들은, 각각의 연속적 전구체 노출 사이에 퍼지가 있는 반복되는 방식으로 순차적으로 사이클링되었다. 아화학량론적 MoSi2 막에 대한 부가적인 Si2H6 주입들은 SiO2 및 SiNx에 대한 증착 선택도를 방해함이 없이 더 많은 Si를 막 내로 혼입시켰다. 일 실시예에서, MoSix 막의 벌크는 약 10 % 미만의 F 및 O 불순물들과 함께 약 1.7 내지 약 1.9의 Si:Mo의 비를 갖는다. 본원에 설명되는 실시예들은, 예컨대, 소스/드레인 접촉 구조들의 형성에서, 규화물 물질들의 형성에 대해 종래의 고압 Si ALD 사이클들에 비해 유리한 것으로 여겨진다.
본원에 설명되는 실시예들에 따라, 3차원(3D) 나노규모 SiO2 및 SiNx 피쳐들을 포함하는 패터닝된 Si 기판에 대해 MoSix의 증착 선택도가 분석되었다. 단면 투과 전자 현미경(TEM)은, 나노규모 3D 구조들 상에서 선택적 MoSix 증착이 달성되었음을 표시했다. 일 실시예에서, 약 10 핵/㎛2 미만이 SiO2 상에 존재했으며, SiO2가 대략적으로 107/㎛2 OH기들을 가지므로, 이는, SiO2 상의 OH기들과 Si 상의 Si-H기들 간의 약 107:1의 선택도에 대응한다. 따라서, 규화물 증착에 대한 기판 의존적 선택도는 부동화제(passivant)(즉, SAM들) 활용의 제거를 가능하게 하는 것으로 여겨진다.
실험
본원에 설명되는 MoSix 규화물 형성 공정들을 위해 다양한 기판 유형들이 활용되었다. 4개의 유형의 기판들: P-타입 Si (100)(붕소-도핑되며, 버지니아 주 프레데릭스버그의 버지니아 세미컨덕터(Virginia Semiconductor)로부터 입수가능함), Si (100) 상의 열적으로 성장된 SiO2(매사추세츠 주 사우스 보스턴의 유니버시티 웨이퍼(University Wafer)로부터 입수가능함), SiON(캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc.)로부터 입수가능함), 및 단일 기판 상에 Si, SiO2, 및 SiNx 물질 표면들을 갖는 패터닝된 기판들이 활용되었다. 본원에 설명되는 SiON(산질화규소)은, 달리 언급되지 않는 한, 제조 동안 산소에서 반응성 이온 식각 및 플라즈마 애싱을 겪은 Si3N4이다. 따라서, SiON 기판은, 통합된 3D 나노규모 디바이스들에서 처리 후의 Si3N4의 조건들과 유사한 산소를 함유한다.
기판들은 12 mm × 3 mm 조각들로 다이싱되고 아세톤, 메탄올, 및 탈이온(DI) H2O로 탈지되었다. 탈지된 기판을 0.5 % HF(aq) 용액에 30 초 동안 침지함으로써 Si 상의 자연 산화물이 제거되었다. 세정 절차에서의 일관성을 위해, SiO2, SiON 및 패터닝된 기판들은 동일한 세정 절차를 겪었다. 플라즈마 기반 자연 산화물 제거 공정이 활용될 수 있다는 것이 또한 고려된다. 예컨대, NF3/H2 및/또는 NF3NH3 플라즈마 세정 공정이 활용되어 기판들의 규소 함유 표면을 세정하고 수소 종결시킬 수 있다. SiON 기판들에 대해, NF3 플라즈마 처리는, 활성 히드록실기 핵형성 부위들을 부동화시킴으로써 증착 선택도 손실을 방지하거나 실질적으로 감소시키는 것으로 여겨진다. 특정 실시예들에서, 자연 산화물 제거 공정은 캘리포니아 주 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 SICONI® 사전 세정 공정이다.
기판들은 고순도 N2 가스를 사용하여 송풍 건조(blow-dry)되었다. Si, SiO2, SiON, 및 패터닝된 기판은, 기판들을 동일한 ALD 조건들에 노출시키기 위해 단일 기판 홀더 상에 함께 적재되었다. 기판들은, 터보 분자 펌프에 의해 펌핑되고 기계식 펌프에 의해 지원이 이루어지는 로드 록 챔버 내에 적재되었다. 로드 록의 기본 압력은 약 2.0 × 10-7 Torr였다. 후속하여, 기판들은, 이온 펌프 및 티타늄 승화 펌프에 의해 펌핑되는 약 3.0 × 10-10 Torr의 기본 압력을 갖는 초고진공 챔버로 인-시튜로 이송되었다. 초고진공 챔버에는 단색 XPS 장치(콜로라도 주 덴버의 씨엔타 오미크론 인코포레이티드(Scienta Omicron, Inc.)로부터 입수가능한 XM 1000 MkII/SPHERA), 주사 터널링 현미경(STM)(콜로라도 주 덴버의 씨엔타 오미크론 인코포레이티드로부터 입수가능함), 및 열분해 질화붕소(PBN; pyrolytic boron nitride) 가열기를 사용하는 어닐링 시스템이 구비되었다.
기판들은 먼저 초고진공 챔버 내에서 120 ℃에서 어닐링되었고, 기판들의 화학 조성은 XPS를 사용하여 결정되었다. 기판들은 약 5.0 × 10-7 Torr의 기본 압력을 갖는 반응 챔버로 인-시튜로 이송되었다. MoSix 증착을 위해, MoF6(99 % 순도, 플로리다 주 앨라추아의 신퀘스트 래보러토리즈(Synquest Laboratories)로부터 입수가능함) 및 Si2H6(99.99 % 순도, 텍사스 주 휴스턴의 에어 리퀴드(Air Liquide) USA, LLC로부터 입수가능함) 전구체들이 이용되었다.
ALD 사이클들 동안, N2의 일정한 퍼지(80 mTorr)가 사용되었으며, 이러한 퍼지 압력은 누설 밸브를 사용하여 제어되었다. MoF6 및 Si2H6 주입들은 랩뷰(LabView) 소프트웨어(텍사스 주 오스틴의 내셔널 인스트루먼츠(National Instruments)로부터 입수가능함)에 의해 제어되는 공압식 밸브들을 사용하여 조절되었다. MoF6 및 Si2H6 주입들을 위해 확장 용적이 이용되었다. 확장 용적의 활용은, 보조 용적을 MoF6 또는 Si2H6으로 충전하고 그들 개개의 보조 용적들로부터 전구체들을 주입하는 것을 포함한다. MoF6에 대한 충전 시간은 약 10 ms 내지 약 10 ms, 이를테면 약 40 ms였다. MoF6에 대한 주입 시간은 약 10 ms 내지 약 100 ms, 이를테면 약 50 ms였다. Si2H6에 대한 충전 시간은 약 1 ms 내지 약 50 ms, 이를테면 약 18 ms였다. Si2H6에 대한 주입 시간은 약 1 ms 내지 약 50 ms, 이를테면 약 18 ms였다.
MoF6 및 Si2H6의 노출들은 랭뮤어(L)의 관점에서 계산되었으며, 여기서, 1 L = 1 × 10-6 Torr × 1 초이다. 노출 동안의 압력 스파이크들이 반응 챔버에서 컨벡트론(convectron) 게이지를 사용하여 모니터링되었다. 주입들은, 주입들 사이의 2 분의 대기 시간으로 MoF6에 대해 약 1.8 MegaL 그리고 Si2H6에 대해 약 4.2 MegaL였다. 기판들은 PBN 가열기를 사용하여 가열되었고, 온도는 약 100 ℃ 내지 약 150 ℃, 이를테면 약 120 ℃의 온도로 유지되었다. 챔버 벽들은 약 65 ℃ 내지 약 85 ℃의 온도로 유지되었다. 일 실시예에서, MoF6 주입들은 약 1.0 MegaL 내지 약 10 MegaL였다. 다른 실시예에서, Si2H6 주입들은 약 1.0 MegaL 내지 약 10 MegaL였다.
증착 사이클들 후에, 기판들은 XPS 및 STM 분석을 위해 초고진공 챔버로 인-시튜로 이송되었다. XPS 측정을 위해, Al Kα 애노드(1486.7 eV)에 의해 X-선들이 생성되었다. XPS 데이터는 스텝 폭이 0.1 eV이고 통과 에너지가 50 eV인 일정한 분석기-에너지(CAE; constant analyzer-energy)를 사용하여 획득되었다. XPS 검출기는 7°의 검출기-수용 각으로 기판 법선에 대해 60°로(기판 표면으로부터 30°의 출발 각(take-off angle)으로) 위치되었다. XPS 스펙트럼들은, 각각의 피크 영역을 카사(Casa) XPS v.2.3 프로그램을 사용하여 그들 개개의 상대 감도 인자로 정정한 후에 분석되었다. 이러한 작업에서의 모든 화학 성분들은 모든 성분들의 합으로 정규화되었다. 주사 터널 현미경은 -1.8 V의 기판 바이어스 및 200 pA의 정전류로 수행되었다.
막의 벌크의 원소 조성을 조사하기 위해, Ar+ 스퍼터링이 XPS와 함께 수행되었다. 6.0 × 10-7 Torr의 Ar에서 1.2 ㎂의 빔 전류로 5 kV의 렌즈 전압이 이용되었으며, 전체 기판 영역에 유효하게 적용되기 위해 래스터가 사용되었으므로, 전류 밀도는 대략적으로 1.2 uA/50 mm2이었다. MoSix 기판은, 임의의 열 탈착을 최소화하기 위해 스퍼터링 동안 25 ℃로 유지되었다.
결과들
도 1a는, 120 ℃에서의 MoF6 및 Si2H6의 순차적 주입들 이전 및 이후의 HF 세정된 Si 표면의 XPS 화학 조성의 데이터를 예시한다. 120 ℃에서 2개의 세트의 5.4 MegaL MoF6가 HF 세정된 Si 기판 상에 주입되었다. XPS는 16 %에서 Mo의 포화를 나타냈다. 그 후, 120 ℃에서 4.2 MegaL의 Si2H6 및 부가적인 42 MegaL의 Si2H6가 MoF6 포화된 Si 표면 상에 주입되었고, Si가 59 %에서 포화되는 것이 초래되었다. 일 실시예에서, MoF6은 약 1 MegaL 내지 약 10 MegaL로 주입되었다. 다른 실시예에서, Si2H6은 약 1 MegaL 내지 약 10 MegaL로 주입되었다. 다른 실시예에서, 부가적인 Si2H6 주입은 약 20 MegaL 내지 약 50 MegaL였다.
HF 세정 후에, 모든 Si가 9 % O 및 12 % C 오염으로 0의 산화 상태에 있었다. 오염은, 진공으로의 기판 이송 동안의 우발적인 탄화수소 흡착에 의해 야기되는 것으로 여겨진다. HF(aq)는 Si 상의 자연 산화물을 제거하기 위해 활용되었고, Si 표면을 H 종결되게 남긴다. 도 1의 Si 2p 데이터는 Si의 총량을 표시하는 한편, Si (0) 데이터는 0의 산화 상태에 있는 Si의 양을 표시한다는 것이 유의되어야 한다.
120 ℃에서의 5.4 MegaL의 MoF6 이후, 14 % Mo 및 38 % F가 HF 세정된 Si 표면 상에 증착되었다. 120 ℃에서 부가적인 5.4 MegaL MoF6이 주입된 후에, Mo 농도는 14 %에서 16 %로 증가되었고, F 농도는 38 %에서 42 %로 증가되었다. 부가적인 5.4 MegaL의 MoF6 이후의 Mo 및 F 함량에서의 이러한 작은 증가는, HF 세정된 Si 상에서의 MoF6의 반응이 자기-제한적이라는 것을 나타낸다. Si 표면이 MoFx로 포화된 후에, F/Mo의 비는 2.6이었고, 모든 Si가 0의 산화 상태에 있었다. 4.2 MegaL의 Si2H6 및 42 MegaL의 Si2H6의 순차적 주입들은, Si2H6 반응이 또한 MoFx 덮힌 Si 표면 상에서 포화된다는 것을 표시한다. 더 두꺼운 아화학량론적 MoSi2 막으로, 부가적인 Si가 표면 상에 혼입될 수 있는 것으로 여겨진다. 그러나, Si2H6은 Mo의 더 얇은(단층) 막 상에서 자기-제한적인 방식으로 반응한다.
Si2H6의 포화 후에, Si 함량은 59 %였고, F는 10 %로 감소되었다. 기판이 Si이므로, Si2H6을 주입한 후의 Si 함량의 이러한 증가는 기판에 부분적으로 기인할 수 있는데, 그 이유는, F 탈착이 발생했기 때문이다. 그러나, Si의 증착과 일치하는, Si2H6 주입 후의 Mo의 감쇠가 관측되었다. H 종결된 Si 상에서의 MoF6 및 Si2H6의 반응은 Si-H 종결된 Si 상에서의 MoSix ALD에 대한 잠재성을 입증한다.
도 1b는, 도 1a와 관련하여 위에 설명된 동일한 일련의 MoF6 및 Si2H6 포화 주입들에 대한 XPS 화학 조성 데이터를 예시하지만, SiON 기판에 대한 것이다. 예시된 바와 같이, 어떠한 반응도 관측되지 않았다. SiON 기판이 공칭적으로 SiON이지만, XPS는 표면 상에 무시가능한 양의 N만을 나타내므로, 이러한 기판은 대부분 이온 손상된 SiOx라는 것이 유의되어야 한다. MoF6의 처음 3개의 펄스 후에, 8 % F 및 무시가능한 Mo(<1 %)가 관측되었다. 나머지 포화 주입들의 경우, SiON 표면은 MoF6 및 Si2H6 둘 모두에 대해 비-반응성으로 남아있다. 이러한 연구에서 사용된 SiON이 이온 손상되지만, Si는 +3 및 +4의 산화 상태들로 있고, 데이터는 강한 Si-O, Si-N, SiO-H 결합들과 일치하며, 따라서, Si가 Mo에 대한 결합들을 형성하는 것이 실질적으로 배제된다.
도 2a 및 도 2b는 HF 세정된 Si 기판에 대한 Si 2p 및 Mo 3d의 XPS 스펙트럼들을 예시하며, 이들은, 각각의 실험 동작에서의 산화 상태들을 비교하도록 도시된다. 도 2a는 순차적 MoF6 및 S2H6 주입들 후의 Si 2p 피크들을 예시하며, 이들은, 120 ℃에서의 10.8 MegaL의 MoF6(청색 선) 이후에 Si가 0의 산화 상태로 남아있음을 나타내고, 이는 Mo-Si 결합 형성, 및 F에 의한 Si의 식각이 전혀 없는 것과 일치한다. 120 ℃에서의 4.2 MegaL Si2H6 주입(적색 선) 후에, Si 대부분이 0의 산화 상태로 유지되었다. 이는, MoSi2의 단층의 형성과 일치한다. 작은 산화된 Si 피크가, 표면에서의 SiHxF4-x(x = 2 또는 3) 또는 SiOx일 수 있는 더 높은 결합 에너지들에서 나타났다. 도 2b는 순차적 MoF6 및 S2H6 주입들 후의 Mo 3d 피크들을 예시하며, 이는, MoF6의 포화 주입(흑색 및 청색 선) 후에 Mo 3d 피크들이 다수의 산화 상태들로 존재함을 나타낸다. Si2H6 주입(적색 선) 후에, 모든 Mo가 환원되었고, 피크는 227.4 eV에 중심이 놓이고, 이는 MoSi2 형성과 일치한다.
처음 5.4 MegaL의 MoF6 후에, Si 2p 피크는 0의 산화 상태에 남아있으며, 이는 Si-Mo 결합 형성과 일치한다. Mo 3d 피크들은 다수의 산화 상태들에서 나타났으며, 이는, 표면 종들이 x = 4, 5, 및 6인 MoFx라는 것을 표시한다(흑색 선). 부가적인 5.4 MegaL의 MoF6은 Si 2p 또는 Mo 3d 피크들의 산화 상태들을 변화시키지 않았다(청색 선). 데이터는, 표면에서의 Si-Mo-Fx의 형성을 시사한다. F/Mo 비는 MoF6 포화 주입 후에 2.6(도 1a의 XPS 데이터)이었는 한편, Mo가 4-6의 산화 상태들에 있으며, 따라서, 일부 Mo-O 결합 형성이 존재하는 것으로 여겨진다는 것이 유의된다. Si 2p XPS 피크에 대해 더 높은 결합 에너지(103 eV)에서 작은 숄더 피크가 4.2 MegaL의 Si2H6 주입 후에 나타났다(적색 선). 이는 Si-F 또는 Si-O 형성과 일치한다. Mo 3d 스펙트럼들은, 단일 Si2H6 주입 후에, 모든 Mo가, 227.4 eV의 결합 에너지를 갖는 Mo0으로 환원됨을 나타낸다. 이는, MoSix의 단층의 형성, 및 Si-O 및 Si-F 결합들의 형태로의 Mo로부터 Si로의 임의의 잔류 산소 또는 플루오린의 전달과 일치한다. MoF6 및 Si2H6의 단순화된 반응은 다음과 같이 설명될 수 있다:
Figure pat00001
Si 기판 상의 MoSix의 ALD 특성들 및 SiO2 및 SiNx 기판에 대한 선택도는 패터닝된 기판 상에 증착된 MoSix의 XPS를 통해 검증되었다. 도 3a는 3개의 기판 세트: HF 세정된 Si, HF 세정된 SiO2, 및 HF 세정된 패터닝된 기판의 화학 조성을 예시한다. 도 3b는, 120 ℃에서의 5 ALD 사이클의 MoF6 및 Si2H6 이후의 도 3a의 기판들 각각의 화학 조성을 예시한다. 데이터는, Si 결핍 MoSix가 SiO2 상이 아닌 Si 상에 선택적으로 증착되었음을 표시했다. 패터닝된 샘플의 Si0 성분이 또한 MoSix 증착에 의해 선택적으로 감쇠되었다. 도 3c는, 부가적인 25.2 MegaL의 (3 펄스 내지 10 펄스의) Si2H6 이후의 도 3b의 기판들 각각의 화학 조성을 예시한다. 부가적인 Si2H6은 Si를 MoSix 표면 상에 혼입시켰다. SiO2에 관한 선택도는 부가적인 Si2H6 펄스들 동안 유지되었다(SiO2는 ALD 공정 전체에 걸쳐 0 % Mo 및 0 % Si0을 가졌음).
3개의 기판이 동일한 증착 조건들에 노출됨을 보장하기 위해 그 기판들이 단일 기판 홀더 상에 함께 적재되었다. Si 및 SiO2 기판들은 패터닝된 기판 상에서의 증착 동안 선택도의 검증을 허용하였다. 패터닝된 기판은 Si 기판의 최상부 상에 SiNx에 의해 샌드위치된 SiO2 층들을 갖는다. 패터닝된 기판 상의 SiNx는 실제로 SiON이었는데, 그 이유는, 제조 동안 O2에서 이온 손상되고 애싱되었기 때문이라는 것이 유의된다. 도 3a에 도시된 바와 같이, 30 s HF 세정은 Si 상의 자연 산화물을 제거하였다. 열적으로 성장된 SiO2는 두께가 300 nm였고, 30 s의 HF 세정은 SiO2의 원소 조성 또는 산화 상태들을 변화시키지 않았다. HF 세정된 패터닝된 기판은 SiNx, SiOx, 및 Si0의 혼합물로 구성되었다.
XPS는, 도 3b에 도시된 바와 같이 120 ℃에서 5 ALD 사이클의 MoF6 및 Si2H6 후에 수행되었다. XPS는, Si 기판 상에 32 % Mo 및 10 % Si의 표면 조성을 나타냈으며, 이는 고도의 Si 결핍 MoSix에 대응한다. SiO2 기판 상에 MoSix 증착이 존재하지 않았으며, 이는, 고도로 선택적인 ALD와 일치한다. 패터닝된 기판 상에서, XPS는, 5 % Mo가 증착되었고 Si0이 1 %로 감쇠되었음을 나타냈다. 표면에서의 N 및 O의 분율은 패터닝된 기판 상에서의 ALD 동안 크게 변하지 않았다. 데이터는, Si 결핍 MoSix가 패터닝된 기판 상에 6 %의 Si0에 대한 선택도로 증착되는 것과 일치한다.
패턴 기판들 상의 증착 선택도는, 본원에 설명된 실시예들의 3개의 양상과 일치한다: (1) SiO2 기판 상이 아닌 Si 기판 상의 MoSix 증착. (2) MoSix 증착 후에, 패터닝된 기판 상에서 Si0(Si-N 및 Si-O로부터의 더 높은 산화 상태 Si 피크들이 아님)이 감쇠됨. (3) 수치적으로, 6 % Si0을 갖는 패터닝된 기판 상에서의 약 4 % Mo 증착은, HF 세정 표면 상에 54 % Si0을 갖는 Si 기판 상에서 32 % Mo를 갖는 것과 비례함.
MoSi2의 단층이 도 1 및 도 2에 설명된 ALD 포화 실험들에서 Si 상에 증착될 수 있었지만, 연속적인 ALD 사이클들은 화학량론적 MoSi2를 생성하지 않았다. Si 결핍 MoSix의 형성은, 플루오로실란 제거 공정 동안의 표면 Si-H 종 탈착, 및 표준 Si2H6 주입에 의해 용이하게 제거되지 않은 잔류 Mo-F 결합들에 기인하는 것으로 여겨진다. 처음 1-3개의 단층의 경우, 플루오린 탈착을 돕기 위해 존재하는, 기판으로부터의 과도한 Si가 존재하지만, 더 두꺼운 막들의 경우, 유일한 이용가능한 Si가 가스상 Si2H6으로부터 비롯되므로, Mo-F 표면 결합들이 존속될 수 있다. MoF6 및 Si2H6을 사용한 전체 플루오로실란 제거 화학현상은 다음 2개의 화학 반응 중 하나와 일치한다:
1:
Figure pat00002
2:
Figure pat00003
MoSi2를 형성하기 위해, 3개의 기판이 120 ℃에서 부가적인 25.2 MegaL의 (3 펄스 내지 10 펄스, 이를테면 6 펄스의) Si2H6에 노출되었다(도 3c 참조). 부가적인 Si2H6 노출 후에, Si는 Si 기판 상에서 20 %로 증가되었고, 이는, Si가 막 내로 또는 기판의 표면 상에 혼입되는 것과 일치한다. 부가적인 Si2H6 주입들은 SiO2에 대한 Si 상의 증착에 대한 선택도를 감소시키지 않았다.
도 4a 내지 도 4c는, HF 세정된 Si, SiO2, 및 SiON 상에서의 선택적 MoSix 증착의 XPS 화학 조성 데이터와 함께, 증착 후 어닐링에 대해 예시한다. 도 4a는, HF 세정 후의 Si, SiO2, 및 SiON 기판들의 XPS 화학 조성을 예시한다. 도 4b는, 120 ℃에서 5 ALD 사이클의 MoSix 이후 부가적인 6 펄스의 (25.2 MegaL의) Si2H6가 후속된 후에 Si 상에만 MoSix가 선택적으로 증착되었음을 나타내는 XPS 화학 조성 데이터를 예시한다. 도 4c는, 520 ℃에서 3 분 동안 증착 후 어닐링(PDA)이 수행된 기판들의 XPS 화학 조성 데이터를 예시한다. 예시된 바와 같이, PDA는 MoSix 막으로부터 F를 제거했고, Mo를 Mo0으로 환원시켰다.
도 4a는, HF 세정 후에 SiON 표면이 주로 SiNx로 구성됨을 예시한다. 5 사이클의 MoSix ALD 이후 부가적인 25.2 MegaL의 Si2H6이 후속된 후에, 도 4b에 도시된 바와 같이, HF 세정된 Si 상에 24 % Mo 및 18 % Si가 존재하는 한편, 1 % 미만의 Mo가 SiOx 및 SiNx 표면들 상에서 검출되었다. 후속하여, 3개의 기판은 520 ℃에서 3 분 동안 어닐링되었고, 이는 Si 기판 상에서 F를 25 %에서 3 %로 감소시켰다. 520 ℃ PDA는 또한 Si 기판 상에서 Mo를 Mo0으로 환원시켰고, 표면에서 Si:Mo 비를 약 0.75에서 약 0.5로 감소시켰다. 이는, SiHF3 또는 SiF4의 형태로의 표면 F의 탈착과 일치한다. PDA의 XPS 분석은, F가 PDA에 의해 막으로부터 제거됨을 표시하며, 이는, 인접 MOSFET 디바이스 구조들 내로의 F의 확산 확률을 감소시킨다.
인-시튜 STM 및 엑스-시튜 원자 힘 현미경(AFM)을 사용하여, Si 및 SiO2 기판들 상에서의 증착 및 PDA 후에 표면 지형들이 조사되었다. 20 사이클의 MoF6 및 Si2H6 이후에 HF 세정된 Si의 별개의 기판이 인-시튜 STM을 위해 준비되었다. STM 데이터는, MoSix 막이 약 2.8 Å의 RMS 거칠기로 원자적으로 평탄하고 형상추종적이었음을 표시했다. 전술된 기판은, 약 5.0 × 10-10 Torr의 압력의 초고진공 챔버에서, 500 ℃에서 3 분 동안 인-시튜로 어닐링되었다. 500 ℃ 어닐링 후에, 막은 약 1.7 Å의 RMS 거칠기로 더 평탄해졌다.
120 ℃에서의 5 ALD 사이클 이후 인-시튜 550 ℃ 어닐링이 후속된 후의 MoSix/HF 세정된 Si의 다른 기판이, N2와 균형을 이룬 5 % H2에서의 900 ℃ 스파이크 어닐링을 위해 엑스-시튜 노로 이동되었다. 900 ℃ 스파이크 어닐링 후에, AFM이 사용되어 표면 형태가 획득되었다. 막은, 4.75 Å의 나노-미만 규모의 RMS 거칠기를 유지했으며, 이는, MoSix 막이 약 900 ℃까지의 높은 열 안정성을 가짐을 입증한다.
선택도를 확증하기 위해, 120 ℃에서 5 ALD 사이클을 주입한 이후 인-시튜 550 ℃ 어닐링이 3 분 동안 후속된 후의 SiO2 기판 표면의 엑스-시튜 AFM 이미지 데이터가 기판 표면 상의 핵들의 수를 계수함으로써 수행되었다. 핵들의 밀도는 약 9 핵/㎛2이었으며, 이는 SiO2에 대한 Si 증착 선호도를 확증한다. 본원에 설명된 실시예들의 높은 증착 선택도는, 반응 챔버의 벽 온도를 제어하고 짧은 고압 Si2H6 펄스들 및 더 긴 퍼지 사이클들을 사용하여 ALD를 용이하게 하고 CVD 증착 체제를 피함으로써 추가로 개선되는 것으로 여겨진다.
MoSix 막의 내부 조성을 결정하기 위해 깊이 프로파일 연구가 또한 수행되었다. 도 5a는, 120 ℃에서의 5 사이클의 MoF6 및 Si2H6 이후의 HF 세정된 Si 상에서의 Ar+ 스퍼터링 후의 XPS 화학 조성 데이터를 예시한다. 도 5b는 순차적 Ar+ 스퍼터링 후의 Si 2p의 XPS 피크들을 예시하며, 이 결과들은, MoSix 막의 벌크가 대부분 Si0으로 이루어짐을 나타낸다. 도 5c는, 120 ℃에서의 5 사이클의 MoF6 및 Si2H6 이후의 Si 상의, Ar+ 스퍼터링 시간에 따라 플롯팅된 증착된 막의 화학 조성 데이터를 예시한다.
도 5a에 도시된 XPS 데이터는, 부가적인 Si2H6 혼입 없이 5 ALD 사이클의 MoF6 및 Si2H6을 사용하여 120 ℃에서 HF 세정된 Si 기판 상에 증착된 MoSix 막으로부터 도출되었다. 스퍼터링 시간이 증가함에 따라, MoSix 막은 하부 Si 기판이 노출될 때까지 더 얇아졌다. 스퍼터링의 처음 10 분은 F를 35 %에서 8 %로 감소시킨 한편, Mo는 산화된 Mo와 Mo0의 혼합물에서 순수한 Mo0으로 변화되었다. 데이터는, 표면 F가 주로 Mo와 결합되는 것과 일치한다.
연속적인 스퍼터링 사이클들에 후속하여, Si의 양이 증가되었고 Mo의 양이 감소되었다. 또한, Si0의 양은 총 Si와 함께 증가되었고, 총 100 분의 스퍼터링 시간 후에 43 %에서 최대치에 도달했다. 순수한 MoSix 상을 구별하기 위해 Si0 대 Mo0 비가 이용되었는데, 그 이유는, 순수한 MoSix 상에서, Mo 및 Si 둘 모두가 서로 결합되어 0의 산화 상태를 갖기 때문이다. 기판 표면에서 산화규소 및 MoFx 종들을 제거한 후에, Si0의 백분율이 Mo0의 백분율을 초과했다. MoSix 막의 벌크에서의 Si0:Mo0 비는 1.41이었고, 이는 Si 결핍 MoSix 막에 대응한다. 막의 중심에서 Si:Mo 비는 1.77이며, 따라서, 배경 O2/H2O의 부재 시, Si0:Mo0 비가 2에 더 가까워지는 것이 가능하다는 것이 유의된다.
도 5b는, 도 5a의 각각의 XPS 측정에 대응하는 Si 2p의 미가공 XPS 스펙트럼들을 예시한다. 99.2 eV에서의 Si 피크는 제4 스퍼터링 사이클 후에 더 높은 결합 에너지로 증가하고 확장되었다. 대조적으로, Mo 피크의 에너지는 각각의 스퍼터링 사이클 후에 Mo0에 대응했다. 따라서, 벌크 MoSix 막은 주로 MoSix의 형태의 Si0 및 Mo0인 한편, 최상부 표면 및 최하부 계면은 SiOx가 풍부한 것으로 여겨진다. 최상부 SiOx는 챔버 환경으로부터의 오염과 일치하는 한편, 최하부 계면 산화물은 불완전한 엑스-시튜 HF 세정과 일치한다.
최하부 계면에서의 아화학량론적 산화물은 증착 및 막 품질에 영향을 미치지 않았으며, 이는, MoSix ALD의 선택도가 SiO2의 품질에 민감하다는 것을 표시한다. 도 5c는, 도 5a의 XPS 측정으로부터 획득된 화학 성분들의 백분율들을 예시한다. 제2 스퍼터링 사이클(40 분의 총 스퍼터링 시간) 후에, F는 3 % 미만으로 감소되었고 결국 0 %에 도달했다. 막의 벌크에서의 O는 <10 % 이었지만, MoSix-Si 계면에서 15 %로 천천히 증가했으며, 이는 계면 산화물 층의 존재와 일치한다.
MoSix 막의 Si:Mo 비에 대한 부가적인 Si2H6 주입들의 영향을 이해하기 위해, 부가적인 Si 혼입을 갖는 MoSix 막에 대해 XPS 깊이 프로파일링이 수행되었다. 건식 세정된 Si에서, 120 ℃에서의 5 ALD 사이클의 MoF6 및 Si2H6의 끝에서 부가적인 6 펄스의 (25.2 MegaL의) Si2H6가 주입된 이후 530 ℃에서 3 분 동안 어닐링이 후속되었다. 본원에 설명되는 사후 어닐링 건식 세정 공정은 NF3 및 NH3의 플라즈마와 함께 Ar을 캐리어 가스로서 활용했다.
도 6a 내지 도 6d는, MoSix 막의 XPS 프로파일 데이터와 함께, 부가적인 Si2H6 주입들에 대한 노출 이후에 대해 예시한다. 도 6a는, 120 ℃에서 5 사이클의 MoF6 및 Si2H6 이후 부가적인 6 펄스의 (25.2 MegaL의) Si2H6가 후속된 후에 건식 세정된 Si를 Ar+ 스퍼터링한 후의 XPS 화학 조성 데이터를 예시한다. 도 6b는, 가외의 Si2H6 펄스들이 있는 그리고 없는 5 ALD 사이클의 MoF6 및 Si2H6 이후의 XPS 표면 조성 데이터를 예시한다. Si:Mo 비들은 5 ALD에 대해 0.33 그리고 5 ALD + 6 × Si2H6에 대해 0.89였으며, 이는 표면 상의 Si 혼입과 일치한다. 도 6c는, Ar+ 스퍼터링을 사용하여 표면 오염들을 제거한 후의, 가외의 Si2H6 펄스들이 있는 그리고 없는 MoSix의 XPS 벌크 조성 데이터를 예시한다. Si:Mo 비들은 5 ALD에 대해 1.77 그리고 5 ALD + 6 × Si2H6에 대해 1.96이었다. 도 6d는, 120 ℃에서 5 사이클의 MoF6 및 Si2H6 이후 부가적인 Si2H6 펄스들이 후속된 후의 Si 상의, Ar+ 스퍼터링 시간에 따라 플롯팅된 MoSix 막의 XPS 화학 조성 데이터를 예시한다.
도 6a는, 건식 세정된 기판 상에서 각각의 동작이 수행된 후의 일련의 깊이 프로파일 XPS를 제시한다. 6 × Si2H6/5 ALD 사이클 후에, 기판 표면에 28 % F, 20 % Si, 및 28 % Mo가 존재했다. 기판 상의 F는 530 ℃ 어닐링 후에 대부분 제거되었고, Mo는 전부가 Mo0으로 환원되었으며, 이는 도 4c에 제시된 바와 같이 표면으로부터의 F의 탈착과 일치했다. Si:Mo 비는 이러한 동작에서 0.89였다. 비교하면, 가외의 Si2H6 주입들이 없는 MoSix 막의 Si:Mo 비는 도 6b에 예시된 바와 같이 단지 0.33이었다.
표면 산화물 오염을 제거한 후에, 벌크에서의 Si0:Mo0은 가외의 Si2H6 펄스들이 있는 MoSix에 대해 1.32(Si:Mo = 1.96)였다. 이는, 도 6c에 도시된 바와 같이, 가외의 Si2H6 혼입이 없는 MoSix의 벌크에서의 Si0:Mo0 = 1.41(Si:Mo = 1.77)에 필적했다. 따라서, 가외의 Si2H6 펄스들은 ALD 사이클들 후에 Si 결핍 MoSix 표면에서 Si 함량을 증가시키는 것으로 여겨진다. 대조적으로, MoSix 막들의 벌크에서의 Si:Mo 비들은 화학량론적 MoSi2에 가까웠다. 도 6d는 Ar+ 스퍼터링 시간의 함수로 각각의 화학 성분의 XPS 백분율을 도시하며, 이는, MoSix 막의 벌크에서의 MoSix 형성과 일치한다.
일 실시예에서, 4.2 MegaL의 Si2H6이 공압식 밸브를 사용하여 6 초의 지속기간에 걸쳐 반응 챔버에 도입되었다. Si2H6 공정 특성들은, 종래의 Si2H6 주입 파라미터들보다 약 10 배 더 짧은 주입 지속기간에 걸쳐 대략적으로 3 배 더 큰 Si2H6 노출을 활용한다. 따라서, 본원에 설명되는 실시예들은, 종래의 주입 체제들과 비교할 때, ALD 주입 동안 30× 더 높은 분압을 활용한다. 주입 동안의 30× 더 높은 순간 압력은, 전구체 매개 Si2H6 화학흡착 층이, Mo와 반응하여 더 많은 Si를 MoSix 막 내로 혼입시키기에 충분할 만큼 표면 상에 오래 남아있을 수 있게 하는 것으로 여겨진다. Si 혼입은 또한 자기-제한적인 것으로 여겨지며, 이는, 약 1.2 nm/사이클의 MoSix의 성장률을 가능하게 한다.
MoSix 막의 저항은 4-포인트 프로브 측정을 사용하여 측정되었다. 전기 측정을 위해, 저항이 >10000 ohm·cm인 도핑되지 않은 Si (001)가 기판으로서 사용되었다. 전기 측정을 위해, 120 ℃에서 10 사이클의 MoSix ALD이 HF 세정된 진성 (반-절연성) Si 기판 상에 증착된 이후, 3 분 동안의 인-시튜 550 ℃ 어닐링 및 N2와 균형을 이룬 5 % H2에서의 900 ℃ 스파이크 어닐링이 후속되었다. Ni 도트들이 프로브 접촉들로서 증착되었다. 저항은 110 옴이었고, 무한 시트 근사화를 사용하여, 비저항이 다음과 같이 계산되었다:
Figure pat00004
여기서, k는 상수이고, t는 두께이고, Rmax는 측정된 최대 저항이다.
나노구조화된 패턴 상에서의 MoSix의 선택도를 확증하기 위해, 패터닝된 기판에 대해 단면 TEM 연구가 수행되었다. 도 7은 MoSix/HF 세정된 패터닝된 기판의 단면 TEM 이미지이다. HF 세정된 패터닝된 기판 상에, 120 ℃에서, 5 사이클의 MoSix ALD 이후 부가적인 25.2 MegaL의 Si2H6이 후속되어 주입되었다. 각각의 증착 단계에서의 이러한 기판의 원소 조성은 도 3a 내지 도 3c에 도시된다. TEM 이미지는, Si 상에서 MoSix 증착의 완전한 선택도를 나타내지만 SiNx 또는 SiO2 중 어느 것 상에서도 그렇지 않다. Si 상에 증착된 MoSix 막의 두께는, 5 ALD 사이클 이후 부가적인 25.2 MegaL가 후속된 후에 약 6.3 nm였고, 이는 약 1.2 nm/사이클의 성장률로 달성되었다. MoSix ALD의 사이클당 성장률로 인해, 5 ALD 사이클은 접촉 물질들 및 접촉 디바이스 구조들에 대해 충분한 것으로 여겨진다.
아화학량론적 MoSi2의 선택적 원자 층 증착은, 열적으로 성장된 SiO2, 이온 손상된 SiON, 및 SiNx에 대한 수소 종결된 Si 상에서의 선택적 공정에 의해 달성되었다. 선택도는 SiO2 또는 SiNx에 대해서가 아니라 H-Si에 대한 MoF6 및 Si2H6의 유리한 반응성에 기반하는데, 그 이유는, Si-O, Si-N, 및 SiO-H 결합들이, 그들이 120 ℃에서 어느 전구체에 의해서도 끊어질 수 없을 만큼 충분히 강하기 때문이다. MoF6 및 Si2H6 둘 모두는 자기-제한적 거동을 나타냈으며, 이는, 2.8 Å의 평균 제곱근(RMS) 거칠기로 고도로 형상추종적이고 평활한 막의 증착을 허용했다. 3 분 동안 약 500 ℃ 내지 550 ℃의 온도에서 초고진공에서의 PDA는, RMS 거칠기를 1.7 Å으로 더 감소시켰다. MoSix 막의 품질은, 심지어 H2/N2 환경에서의 900 ℃ 스파이크 어닐링 이후에도 보존되었으며, 이는, 높은 열 안정성과 일치한다.
깊이 프로파일링 XPS 연구는, MoSix 막의 벌크가 산소 및 플루오린이 <10 %인 화학량론적 MoSi2(Si:Mo = 1.7 - 1.9)에 가깝다는 것을 밝혀냈다. 5 ALD 사이클 후의 MoSix 막의 표면은 Si:Mo 비가 0.33인 고도의 Si 결핍 MoSix 표면을 나타냈고, 표면에서의 이러한 Si:Mo 비는 가외의 Si2H6을 펄싱함으로써 0.89로 개선된다. 단면 TEM 이미징은, 나노규모 상에서 선택도가 유지되고, MoSix가 기판 소모 없이 Si 상에 선택적으로 증착될 수 있다는 것을 나타낸다.
약 1.2 nm/사이클의 MoSix 막 성장률은, 10 사이클 미만의 ALD 사이클, 이를테면 5 ALD 사이클이 접촉 물질로서 MoSix 막을 활용하기에 충분할 수 있게 한다. 따라서, 종래의 ALD 공정들과 비교할 때, 본원에 설명되는 실시예들을 활용함으로써 공정 처리량이 증가된다. 선택적 MoSix 증착은, 복잡한 3D MOSFET 구조들(예컨대, FinFET들)의 경우의 리소그래피 공정들에 대한 의존성을 제거하거나 실질적으로 감소시키는 것으로 여겨진다. Si-H 결합들 대 SiO-H 결합들에 대한 선택도는 106을 초과한다. 따라서, 부가적인 부동화 층들을 사용하지 않더라도 나노규모 상에서 높은 선택도가 가능하다. 본원에 설명되는 실시예들은 또한, 규화물 대 금속의 ALD가, 환원제의 ALD 펄스 동안 분압을 변화시킴으로써 선택도를 유지하면서 용이하게 전환될 수 있다는 것을 예시한다.
전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 처리 방법으로서,
    규소 함유 표면을 갖는 기판을 제1 온도로 가열하는 단계;
    상기 기판을 수소를 포함하는 플라즈마에 노출시키는 단계;
    상기 기판을 제1 주입분(dosage)의 MoF6 전구체에 노출시키는 단계;
    상기 기판을 제2 주입분의 Si2H6 전구체에 노출시키는 단계;
    상기 기판을 제1 주입분에 노출시키는 단계 및 그 후 상기 기판을 제2 주입분에 노출시키는 단계를 순차적으로 사이클링하는 단계; 및
    상기 순차적 사이클링 후에, 상기 기판을 아화학량론적 주입분의 MoF6에 노출시킨 뒤, 제3 주입분의 Si2H6 전구체에 노출시키는 단계
    를 포함하는, 기판 처리 방법.
  2. 제1항에 있어서,
    상기 기판을 제3 주입분에 노출시키는 단계 후에, 상기 기판을 500 ℃ 내지 550 ℃의 제2 온도에서 어닐링하는 단계를 더 포함하는, 기판 처리 방법.
  3. 제1항에 있어서,
    상기 제1 온도는 100 ℃ 내지 150 ℃인, 기판 처리 방법.
  4. 제1항에 있어서,
    상기 순차적 사이클링은 10 회 미만으로 수행되는, 기판 처리 방법.
  5. 제4항에 있어서,
    상기 순차적 사이클링은 5 회 수행되는, 기판 처리 방법.
  6. 제1항에 있어서,
    상기 수소를 포함하는 플라즈마는, NF3, NH3, 및 H로 이루어진 그룹으로부터 선택되는 전구체들로부터 형성되는, 기판 처리 방법.
  7. 제1항에 있어서,
    상기 순차적 사이클링 동안, N2를 활용하는 질소 퍼지 공정이 수행되는, 기판 처리 방법.
  8. 제1항에 있어서,
    상기 제1 주입분은 10 ms 내지 100 ms의 지속기간 동안 수행되고, 상기 제2 주입분은 1 ms 내지 50 ms의 지속기간 동안 수행되는, 기판 처리 방법.
  9. 제8항에 있어서,
    상기 제1 주입분은 1 MegaL 내지 10 MegaL의 MoF6 유량을 포함하고, 상기 제2 주입분은 1 MegaL 내지 10 MegaL의 Si2H6 유량을 포함하고, 상기 제3 주입분은 20 MegaL 내지 50 MegaL의 Si2H6 유량을 포함하는, 기판 처리 방법.
  10. 기판 처리 방법으로서,
    챔버 벽들을 갖는 반응 챔버 내의 가열기 상에 기판을 위치시키는 단계;
    상기 가열기 상의 상기 기판을 제1 온도로 가열하는 단계;
    상기 챔버 벽들을 상기 제1 온도 미만의 제2 온도로 유지하는 단계;
    상기 기판의 규소 함유 표면을 수소에 노출시키는 단계;
    상기 기판을 제1 주입분의 MoF6 전구체에 노출시키는 단계;
    상기 기판을 제2 주입분의 Si2H6 전구체에 노출시키는 단계;
    상기 기판을 제1 주입분에 노출시키는 단계 및 그 후 상기 기판을 제2 주입분에 노출시키는 단계를 순차적으로 사이클링하는 단계; 및
    상기 순차적 사이클링 후에, 상기 기판을 아화학량론적 주입분의 MoF6에 노출시킨 뒤, 제3 주입분의 Si2H6 전구체에 노출시키는 단계
    를 포함하는, 기판 처리 방법.
  11. 제10항에 있어서,
    상기 제1 온도는 100 ℃ 내지 150 ℃이고, 상기 제2 온도는 65 ℃ 내지 85 ℃인, 기판 처리 방법.
  12. 제10항에 있어서,
    상기 제1 주입분은 1 MegaL 내지 10 MegaL의 MoF6 유량을 포함하고, 상기 제2 주입분은 1 MegaL 내지 10 MegaL의 Si2H6 유량을 포함하고, 상기 제3 주입분은 20 MegaL 내지 50 MegaL의 Si2H6 유량을 포함하는, 기판 처리 방법.
  13. 제10항에 있어서,
    상기 기판의 규소 함유 표면을 노출시키는 단계는, 상기 규소 함유 표면을 NF3/H2 가스들로부터의 플라즈마 또는 NF3/NH3 가스들로부터의 플라즈마 중 어느 하나에 노출시키는 단계를 포함하는, 기판 처리 방법.
  14. 제10항에 있어서,
    상기 규소 함유 표면 상에 순차적 사이클당 1.2 nm의 성장률로 MoSi2를 포함하는 MoSix 막을 선택적으로 증착하는 단계를 더 포함하는, 기판 처리 방법.
  15. 기판 처리 방법으로서,
    기판을 제1 온도로 가열하는 단계;
    상기 기판의 규소 함유 표면을 수소 함유 플라즈마에 노출시키는 단계;
    상기 기판을 제1 주입분의 MoF6 전구체에 노출시키는 단계;
    상기 기판을 제2 주입분의 Si2H6 전구체에 노출시키는 단계;
    상기 기판을 제1 주입분에 노출시키는 단계 및 그 후 상기 기판을 제2 주입분에 노출시키는 단계를 순차적으로 사이클링하는 단계;
    상기 순차적 사이클링 후에, 상기 기판을 아화학량론적 주입분의 MoF6에 노출시킨 뒤, 제3 주입분의 Si2H6 전구체에 노출시키는 단계; 및
    상기 기판을 제3 주입분에 노출시키는 단계 후에, 상기 기판을 500 ℃ 내지 550 ℃의 제2 온도에서 어닐링하는 단계를 포함하는, 기판 처리 방법.
KR1020217038234A 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착 KR102455480B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762511521P 2017-05-26 2017-05-26
US62/511,521 2017-05-26
KR1020197037816A KR20200000457A (ko) 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착
PCT/US2018/034482 WO2018218078A1 (en) 2017-05-26 2018-05-24 Selective deposition of metal silicides

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037816A Division KR20200000457A (ko) 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착

Publications (2)

Publication Number Publication Date
KR20210144949A true KR20210144949A (ko) 2021-11-30
KR102455480B1 KR102455480B1 (ko) 2022-10-18

Family

ID=64397046

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197037816A KR20200000457A (ko) 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착
KR1020217038234A KR102455480B1 (ko) 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197037816A KR20200000457A (ko) 2017-05-26 2018-05-24 금속 규화물들의 선택적 증착

Country Status (5)

Country Link
US (1) US10475655B2 (ko)
JP (1) JP6989623B2 (ko)
KR (2) KR20200000457A (ko)
CN (1) CN110945626B (ko)
WO (1) WO2018218078A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
KR20230139306A (ko) 2022-03-25 2023-10-05 에스케이스페셜티 주식회사 기판 처리 방법 및 이를 사용한 선택적 증착 방법
KR20240053803A (ko) 2022-10-18 2024-04-25 에스케이스페셜티 주식회사 기판 처리 방법 및 이를 이용한 영역 선택적 박막 증착 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130075764A (ko) * 2010-06-10 2013-07-05 에이에스엠 인터내셔널 엔.브이. 금속성 표면들 위에서의 금속성 막들의 선택적 형성
KR20140082781A (ko) * 2011-10-07 2014-07-02 어플라이드 머티어리얼스, 인코포레이티드 준안정 수소 종단을 통한 실리콘의 선택적인 에칭
KR20170016310A (ko) * 2015-08-03 2017-02-13 에이에스엠 아이피 홀딩 비.브이. 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61128521A (ja) * 1984-11-27 1986-06-16 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPH0666286B2 (ja) * 1987-08-24 1994-08-24 富士通株式会社 シリコン含有金属膜の形成方法
JPH05144710A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 光学素子及びその製造方法
JPH07283168A (ja) * 1994-04-15 1995-10-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
WO2017056187A1 (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130075764A (ko) * 2010-06-10 2013-07-05 에이에스엠 인터내셔널 엔.브이. 금속성 표면들 위에서의 금속성 막들의 선택적 형성
KR20140082781A (ko) * 2011-10-07 2014-07-02 어플라이드 머티어리얼스, 인코포레이티드 준안정 수소 종단을 통한 실리콘의 선택적인 에칭
KR20170016310A (ko) * 2015-08-03 2017-02-13 에이에스엠 아이피 홀딩 비.브이. 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Also Published As

Publication number Publication date
KR20200000457A (ko) 2020-01-02
CN110945626A (zh) 2020-03-31
US10475655B2 (en) 2019-11-12
WO2018218078A1 (en) 2018-11-29
JP6989623B2 (ja) 2022-01-05
JP2020522138A (ja) 2020-07-27
KR102455480B1 (ko) 2022-10-18
CN110945626B (zh) 2023-07-04
US20180342395A1 (en) 2018-11-29

Similar Documents

Publication Publication Date Title
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
US10818510B2 (en) Self-assembled monolayer blocking with intermittent air-water exposure
TWI505364B (zh) 硬遮罩材料
KR102455480B1 (ko) 금속 규화물들의 선택적 증착
WO2006019603A2 (en) Thin tungsten silicide layer deposition and gate metal integration
US10483097B2 (en) Method for cleaning, passivation and functionalization of Si—Ge semiconductor surfaces
US10373824B2 (en) CVD silicon monolayer formation method and gate oxide ALD formation on semiconductor materials
JP7503547B2 (ja) 金属シリサイドの選択的堆積及び酸化物の選択的除去
US10586707B2 (en) Selective deposition of metal silicides
JP2002530887A (ja) CVD窒化酸化シリカム層の後処理を備えるSiON/TEOS層間誘電体の形成のためのプロセス
US10262858B2 (en) Surface functionalization and passivation with a control layer
TWI780157B (zh) 金屬矽化物的選擇性沉積
US11367614B2 (en) Surface roughness for flowable CVD film
TWI740933B (zh) 利用控制層進行的表面官能化和鈍化
Chou et al. Interfacial Structures of Si 3 N 4 on Si (100) & Si (111)

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant