CN110622288A - 电镀过程中监测籽晶层上的表面氧化物 - Google Patents

电镀过程中监测籽晶层上的表面氧化物 Download PDF

Info

Publication number
CN110622288A
CN110622288A CN201880031649.6A CN201880031649A CN110622288A CN 110622288 A CN110622288 A CN 110622288A CN 201880031649 A CN201880031649 A CN 201880031649A CN 110622288 A CN110622288 A CN 110622288A
Authority
CN
China
Prior art keywords
substrate
current
voltage
response
threshold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880031649.6A
Other languages
English (en)
Other versions
CN110622288B (zh
Inventor
黄璐丹
李·J·布罗根
泰伊·A·斯柏林
尚蒂纳特·古艾迪
乔纳森·大卫·里德
马尼什·兰詹
布赖恩·彭宁顿
克利福德·雷蒙德·拜里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Priority to CN202311485982.3A priority Critical patent/CN117758348A/zh
Publication of CN110622288A publication Critical patent/CN110622288A/zh
Application granted granted Critical
Publication of CN110622288B publication Critical patent/CN110622288B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electroplating And Plating Baths Therefor (AREA)

Abstract

描述了用于确定衬底是否包括在该衬底的表面上的不可接受的大量氧化物的方法和设备。所述衬底通常是要电镀的衬底。该确定可以在电镀工艺的初始部分期间直接在电镀装置中进行。该确定可以包括将衬底浸入具有在浸入期间或浸入之后立即提供的特定施加的电压或施加的电流的电解质中,并记录在同一时间范围内的电流响应或电压响应。施加的电流或施加的电压可以为零或非零。通过将电流响应或电压响应与阈值电流、阈值电压或阈值时间进行比较,可以确定衬底是否包含在其表面上的不可接受的大量氧化物。可以基于校准程序来选择阈值电流、阈值电压和/或阈值时间。

Description

电镀过程中监测籽晶层上的表面氧化物
相关申请的交叉引用
本申请要求于2017年3月30日提交的名称为“MONITORING SURFACE OXIDE ONSEED LAYERS DURING ELECTROPLATING”的美国专利申请No.15/475,022的优先权,其全部内容通过引用并入本文以用于所有目的。
背景技术
随着半导体加工技术的发展,特征尺寸继续缩小。同样,金属籽晶层继续变薄。这些变化使得在半导体处理中电镀金属变得越来越困难。
发明内容
本文的各种实施方案涉及用于确定衬底是否在衬底的表面上包括不可接受的高含量的氧化物的方法和装置。可接受的氧化物的量可以取决于特定的应用,例如取决于特征的几何形状,电解液的组成,用于将金属电镀到衬底上的电流和/或电压以及其他因素。本文所述的技术通常涉及在将衬底浸入电解液期间或之后不久监测电流和/或电压响应。可以分析这些响应以确定在衬底表面上是否存在氧化物。本文还描述了选择预处理条件以从衬底表面去除氧化物的方法。
在公开的实施方案的一个方面,提供了一种确定衬底是否包含在该衬底的表面上的不可接受的大量氧化物的方法,该方法包括:(a)将所述衬底容纳在电镀室中;(b)将所述衬底浸入电解液中,其中在将所述衬底浸入期间和/或之后立即:(i)控制施加到所述衬底的电流,或(ii)控制在所述衬底和参比物之间施加的电压;(c)在浸入期间和/或浸入之后立即测量电压响应或电流响应,其中:(i)如果在(b)(i)中控制了施加到所述衬底的所述电流,则测量所述电压响应,或者(ii)如果在(b)(ii)中控制了施加到所述衬底的电压,则测量所述电流响应;(d)将(c)中测得的所述电压响应或所述电流响应与阈值电压、阈值电流或阈值时间进行比较,其中选择所述阈值电压、所述阈值电流或所述阈值时间以区分(1)所述衬底包含存在于所述衬底的所述表面上的所述不可接受的大量氧化物的情况和(2)所述衬底包含存在于所述衬底的所述表面上的可接受的少量氧化物或所述衬底的所述表面上不存在氧化物的情况;以及(e)基于(d)中的所述比较,确定所述衬底是否包含在所述衬底的表面上的所述不可接受的大量氧化物。
在一些实施方案中,在(b)期间,控制施加到所述衬底的所述电流,并且其中在(c)期间,测量所述电压响应。在一些这样的实施方案中,在(b)期间,将施加到所述衬底的所述电流控制为非零电流。在一些其他实施方案中,在(b)期间,将施加到所述衬底的所述电流控制在零电流的水平,并且其中在(c)期间,测量所述电压响应,其中所述电压响应是开路的电压响应。在某些实现方案中,在(b)期间,控制施加在所述衬底与所述参比物之间的电压,并且其中在(c)期间,测量所述电流响应。所述参比物可以是例如阳极或参比电极。
在多种实施方案中,基于校准程序来选择所述阈值电流、所述阈值电压和/或所述阈值时间。在一示例中,所述校准过程包括:(f)预处理多个校准衬底,每个校准衬底使用不同的预处理条件组进行预处理;(g)将每个校准衬底浸入电解液中;(h)在将每个校准衬底浸入电解液期间和/或之后立即测量电压响应或电流响应;以及(i)分析所述电压响应或所述电流响应以识别所述阈值电流、所述阈值电压和/或所述阈值时间。在一些实施方案中,至少一个校准衬底包含在所述衬底的表面上的不可接受的大量氧化物,并且至少一个校准衬底(1)包含在所述衬底的表面上的可接受的少量氧化物,或(2)在所述衬底的表面上不含氧化物。
可以使用各种技术来将电压或电流响应与阈值电压、阈值电流或阈值时间进行比较。在一示例中,在目标时间测量在(c)中测量的所述电压响应或所述电流响应。在另一示例中,所述方法还包括分析在(c)中测得的所述电压响应或所述电流响应,以确定所述电压响应或所述电流响应分别达到目标电压或目标电流的时间,以及(d)包括将所述电压响应或所述电流响应达到所述目标电压或所述目标电流的时间分别与所述阈值时间进行比较。在另一示例中,所述方法还包括确定在(c)中测量的最大电压响应或最大电流响应,其中所述阈值电压或所述阈值电流分别对应于阈值最大电压或阈值最大电流,并且(d)包括将所述最大电压响应与所述阈值最大电压进行比较或将所述最大电流响应与所述阈值最大电流进行比较。在另一示例中,所述方法还包括通过对在目标时间范围内在(c)中测量的所述电压响应或所述电流响应进行积分来确定积分电压响应或积分电流响应,其中所述阈值电压或所述阈值电流分别对应于阈值积分电压或阈值积分电流,并且(d)包括将所述积分电压响应与所述阈值积分电压进行比较或将所述积分电流响应与所述阈值积分电流进行比较。
在公开的实施方案的一个方面,提供了一种选择预处理条件以从生产衬底的表面去除氧化物的方法,该方法包括:(a)提供多个校准衬底;(b)对所述校准衬底中的至少一些进行预处理以从每个被预处理的校准衬底的表面上至少部分地去除氧化物,其中使用不同的预处理条件组对被预处理的所述校准衬底进行预处理;(c)将每个校准衬底浸入电解液中;(d)在将每个校准衬底浸入电解液期间和/或之后立即测量电压响应或电流响应;(e)分析在(d)中测量的所述电压响应或电流响应,以确定哪些预处理条件组导致从相关校准衬底的所述表面上充分去除氧化物;以及(f)基于对(e)的分析,选择用于从生产衬底的所述表面去除氧化物的预处理条件。
在某些实现方式中,至少一个校准衬底未被预处理。在这些以及其他实现方式中,至少一个校准衬底包括有意沉积在其上的氧化物层。在一示例中,至少一个校准衬底不进行预处理,并且,至少一个校准衬底进行预处理以从其表面完全去除所述氧化物。
在一些实施方案中,该方法还包括电镀生产衬底。可以使用与用于在校准衬底上进行电镀的条件没有实质性不同的条件来电镀生产衬底。例如,在一些这样的实施方案中,将每个校准衬底所浸入的所述电解液的组成与其中电镀所述生产衬底的所述电解液的组成实质上没有不同,所述校准衬底的直径与所述生产衬底的直径实质上没有不同,所述校准衬底上的籽晶层的组成与所述生产衬底上的籽晶层的组成实质上没有不同,所述校准衬底上的所述籽晶层的厚度与所述生产衬底上的所述籽晶层的厚度实质上没有不同,在浸入期间和/或之后不久施加到如果有的所述校准衬底的电流和/或电压的幅值与在浸入期间和/或之后不久施加到如果有的所述生产衬底的电流和/或电压的幅值实质上没有不同,用于浸入所述校准衬底的竖直浸入速度与用于浸入所述生产衬底的竖直浸入速度实质上没有不同,用于浸入所述校准衬底的倾角和倾斜速度与用于浸入所述生产衬底的倾角和倾斜速度实质上没有不同,并且,在浸入期间用于旋转所述校准衬底的旋转速率与在浸入期间用于旋转所述生产衬底的旋转速率实质上没有不同。在一些实施方案中,该方法还包括在电镀生产衬底之前,使用在(f)中选择的预处理条件对生产衬底进行预处理。
在某些实现方式中,在(c)期间,控制施加到每个校准衬底的所述电流,并且在(d)期间,测量所述电压响应。在一些这样的情况下,在(c)期间,将施加到每个校准衬底的电流控制为零电流,并且在(d)期间测量的电压响应是开路电压响应。在一些其他实施方案中,在(c)期间,控制施加到每个校准衬底的电压,并且在(d)期间,测量电流响应。
在公开的实施方案的另一方面,提供了一种电镀装置,其被配置为确定衬底是否包含在所述衬底的表面上的不可接受的大量氧化物,所述装置包括:电镀室,其配置为容纳电解液;电源,其被配置为:(1)向所述衬底施加电流和/或电压,并且(2)响应于所施加的所述电流和/或所施加的所述电压来测量电压响应和/或电流响应;包含可执行指令的控制器,所述指令用于:(a)将所述衬底容纳在电镀室中;(b)将所述衬底浸入电解液中,其中在将所述衬底浸入期间和/或之后立即:(i)控制施加到所述衬底的电流,或(ii)控制在所述衬底和参比物之间施加的电压;(c)在浸入期间和/或浸入之后立即测量电压响应或电流响应,其中:(i)如果在(b)(i)中控制了施加到所述衬底的所述电流,则测量所述电压响应,或者(ii)如果在(b)(ii)中控制了施加到所述衬底的电压,则测量所述电流响应;(d)将(c)中测得的所述电压响应或所述电流响应与阈值电压、阈值电流或阈值时间进行比较,其中选择所述阈值电压、所述阈值电流或所述阈值时间以区分(1)所述衬底包含存在于所述衬底的所述表面上的所述不可接受的大量氧化物的情况和(2)所述衬底包含存在于所述衬底的所述表面上的可接受的少量氧化物或所述衬底的所述表面上不存在氧化物的情况;以及(e)基于(d)中的所述比较,确定所述衬底是否包含在所述衬底的表面上的所述不可接受的大量氧化物。
下面将参考相关附图描述这些和其他特征。
附图说明
图1是描述预处理和电镀衬底的方法的流程图,其中使用分离的工具对衬底进行度量。
图2是描述对衬底进行预处理和电镀的方法的流程图,其中在电镀工艺的初始部分期间在电镀装置中执行度量。
图3A和3B描绘了具有由于不同的预处理操作而在表面具有不同量的氧化物的钴籽晶层(图3A)或铜籽晶层(图3B)的各种衬底的电压迹线。
图4是描述选择用于预处理衬底以去除表面氧化物的预处理条件的方法的流程图。
图5示出了根据一实施方案的电镀装置。
图6和7分别描绘了根据某些实施方案的多工具电镀装置。
具体实施方式
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指在其上面执行集成电路制造的许多阶段中的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底典型地具有200毫米或300毫米或450毫米的直径。此外,术语“电解液”、“镀浴”、“镀液”、和“电镀液”可互换使用。下面的详细描述假设本发明实施方式是在晶片上实施的。然而,本发明实施方式并不受限于此。工件可以为各种形状、尺寸和材料。除半导体晶片外,可利用本发明的实施方式的优点的其它工件包括诸如印刷电路板、磁记录介质、磁记录传感器、镜、光学元件、微型机械装置之类的各种制品。
在下面的说明中,许多具体细节被阐述,以便提供对所呈现的实施方式的彻底理解。所公开的实施方式可以在没有这些具体细节的部分或全部的情况下实施。在其它情况下,公知的处理操作没有进行详细说明,以避免不必要地使本公开的实施方式不清楚。虽然所公开的实施方式将结合具体的实施方式进行说明,但应理解,这并不意在限制本公开的实施方式。
在电镀期间可能成问题的一个问题是在衬底表面上存在氧化物(例如,金属氧化物)。通常,要电镀的衬底上具有在其上的导电籽晶层。通常为金属的该籽晶层当暴露于含氧气氛中时,会迅速被氧化。该氧化物会干扰电镀过程,并且在例如使用自下而上的填充机制将金属电镀到凹陷特征中时尤其成问题。在许多情况下,填充特征时,存在于籽晶层上的氧化物会导致形成不需要的空隙。
为了去除存在于衬底表面上的任何氧化物,可以在进行电镀之前对衬底进行预处理工艺。可以使用各种预处理工艺,例如,如在以下任何美国专利和专利申请中所述的,其每一个均通过引用整体并入本文:2012年7月11日提交的名称为“DEPOSIT MORPHOLOGY OFELECTROPLATED COPPER AFTER SELECTIVE REMOVAL OF COPPER OXIDES DURINGPRETREATMENT”的申请No.13/546,146;2013年1月14日提交的名称为“METHODS FORREDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES”的申请No.13/741,151;名称为“METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACESUSING A GASEOUS REDUCING ENVIRONMENT”的美国专利No.9,070,750;名称为“PRETREATMENT METHOD FOR PHOTORESIST WAFER PROCESSING”的美国专利No.9,469,912;以及名称为“METHOD AND APPARATUS FOR CHARACTERIZING METAL OXIDE REDUCTION”的美国专利No.9,472,377。
预处理工艺通常涉及使衬底暴露于还原条件,使得存在于衬底表面上的金属氧化物还原为金属。还原条件可以通过将衬底暴露于包含还原化学性质的液体、气体和/或等离子体中来建立。通常用于在电镀之前预处理衬底的一种方法涉及将衬底暴露于含氢的等离子体中。等离子体中的氢与衬底表面的金属氧化物发生反应并使其还原。预处理工艺通常在与电镀装置分开的装置中进行(尽管在某些情况下,预处理模块可以包含在电镀装置中,其中预处理模块用于电镀前还原衬底上的金属氧化物)。
在某些情况下,可以在对衬底进行预处理之后以及对衬底进行电镀之前使用一种或多种度量方法。度量方法可用于评估/表征衬底的表面,例如以确定衬底表面上是否存在金属氧化物以及金属氧化物以何种程度存在。在某些情况下,度量方法涉及测量金属籽晶层的薄层电阻。在典型示例中,可以通过将四个微米级探针与衬底接触来测量薄层电阻。探针经常导致衬底表面变形,这可能使得该度量方法不适用于在其中具有图案化的特征的衬底(例如,由于特征变形)。其他度量方法会涉及测量衬底表面的光学性质(例如,反射率或其他光学性质)的光学技术。图案化到衬底表面中的任何特征都可以反射/折射来自度量工具的光,从而难以(在某些情况下实际上不可能)正确地解释度量结果。此外,从表面氧化物产生的光信号通常很小,这意味着使用光学度量方法检测表面氧化物相对困难。
度量工具通常是独立工具。由于各种原因,包括但不限于所涉及装置的大的占位面积/形状因数以及将部件集成到单个装置中的成本,很难将度量工具结合到电镀装置中。
虽然传统的度量方法提供了有关衬底表面和预处理工艺有效性的理解,但此类方法也带来了其他困难。例如,由于上述原因,在对衬底进行图案化的情况下,常规度量方法的价值可能有限。而且,由于与处理有关的排队时间,度量方法可能不能在预处理工艺之后或在电沉积之前立即准确地反映(reflect)衬底的表面,这减小了度量结果的相关性。
图1提供了描述电镀衬底的方法的流程图。该方法开始于操作101,其中,接收具有导电籽晶层的衬底。通常,籽晶层是金属籽晶层。衬底可以包括例如在图案化的光致抗蚀剂层中的多个特征。接下来,在操作103中,将衬底传送到度量装置。在操作105,在度量装置中表征衬底的表面。该度量操作105可以涉及测量籽晶层的薄层电阻或光学特性,以确定在衬底表面上是否存在金属氧化物(以及存在的金属氧化物达到何种程度)。在某些实施方案中,可以省略操作103和105。在操作107,将衬底传送到预处理装置。在操作109,对衬底进行预处理以减少或以其他方式去除衬底表面上的金属氧化物。如上所述,可以使用各种预处理方法中的任何一种。接下来,在操作111,将衬底传送回到度量装置中。在操作113,在度量装置中表征衬底的表面。在某些情况下,可以将操作105和113的度量结果相互比较,以评估操作109中的预处理工艺的有效性。接下来,在操作115中,将衬底传送到电镀装置。在操作117,对衬底进行电镀。
由于半导体制造所涉及的实际限制,每个传送操作(例如,操作103、107、111和115)通常花费几个小时(例如,每次传送为1-12小时)。例如,在可以使用下一个装置之前,衬底可能会花费几个小时排队。这些漫长的排队时间显著降低了度量结果的准确性和相关性。例如,如果在操作111中(在操作109中对衬底进行预处理之后,以及在操作113中进行度量之前)有较长的排队时间,则在预处理之后且在度量之前在衬底表面上的金属氧化物可重整。结果,来自操作113的度量结果可能不能在操作109中的预处理工艺之后立即准确地反映(reflect)衬底的表面。这意味着,度量结果不能准确地测量预处理工艺的工作状况。操作107中较长的排队时间可能同样会影响来自操作105的度量结果的相关性,这可能使得难以表征操作109中的预处理工艺的有效性。类似地,如果操作115中存在较长的排队时间,则在度量之后并且在电镀之前,在衬底的表面上的金属氧化物可以重整。结果是,来自操作113的度量结果可能不会在电镀之前立即准确地反映衬底的表面。这意味着度量结果不能准确地测量电镀时存在的衬底上的条件。
在本文的各种实施方案中,可以在电镀装置中(例如,在电镀室内)表征衬底的表面。表征会涉及确定衬底表面上是否存在氧化物(以及在某些情况下存在的氧化物达到何种程度)。在各种实施方案中,表征会涉及确定衬底表面上是否存在不可接受的高含量的氧化物。“可接受的”或“不可接受的”氧化物的量可以取决于特定的应用。例如,特征的尺寸和布局、电解液的组成以及各种其他镀覆条件可能会影响可接受的氧化物程度。在某些情况下,可接受的氧化物量可以是实际上可以忽略不计的量。在某些情况下,可接受量的氧化物可以基本上是无氧化物的(例如,没有可检测的氧化物)。在另一些情况下,可接受的氧化物量可以更高。
表征可以作为电镀工艺的一部分进行。所公开的实施方案消除了对单独的度量工具的需要,并且还消除了与单独的度量工具相关联的传送/排队时间。这样,度量结果可以更准确地反映衬底表面上的相关条件。
图2示出了根据本文各种实施方案的电镀衬底的方法。该方法开始于操作201,其中提供具有导电籽晶层的衬底。如上所述,籽晶层可以是金属籽晶层,并且可以对衬底进行图案化以包括多个特征。接下来,在操作203,将衬底传送到预处理装置。预处理装置可以是独立工具,或者可以作为预处理模块并入电镀装置中。接下来,在操作205,对衬底进行预处理以减少或以其他方式去除存在于衬底表面上的氧化物。如上所述,可以使用任何预处理方法。
在对衬底进行预处理之后,在操作207中将其传送到电镀装置。如果预处理装置是电镀装置的一部分,则操作207可能涉及从预处理模块传送衬底到电镀装置的电镀模块。在这种情况下,预处理模块和电镀模块之间的传送时间非常短,例如约10秒。在某些情况下,这些模块之间的传送时间在大约1秒到1分钟之间,或大约1-30秒之间。操作207中的传送可以在基本上不含氧气(例如,仅包含痕量的氧气)的环境中进行,以避免在电镀之前形成表面氧化物。在一些情况下,操作207中的传送可以经由加载锁或其他受控气氛环境来完成。在一些其他情况下,操作207中的传送可以包括将衬底暴露于含氧环境中。暴露于氧气的时间可能足够短,使得在衬底表面上不会形成氧化物(或仅少量的氧化物)。
接下来,在操作209中,将衬底浸入电解液中。在各种情况下,可以在浸入期间将衬底浸入而没有对衬底施加任何电流或电压。在另一些情况下,衬底可以在具有施加的电压或施加的电流的情况下浸入。如本文所使用的,“施加的电流”和“施加至衬底的电流”是指受控电流。换句话说,当使用施加的电流时,电源主动地控制输送到衬底的电流量。在这种情况下,尽管不能主动控制输送至衬底的电压,但可以对其进行测量/监视,并且可以将其称为“电压响应”。类似地,“施加的电压”或“施加至衬底的电压”也可以称为是指受控电压。在使用施加的电压的情况下,电源主动地控制在衬底和参比物(例如,阳极或参比电极)之间传递的电压量。在这种情况下,尽管传递至衬底的电流不能主动控制,但可以对其进行测量/监视,并且可以将其称为“电流响应”。
在操作211,测量和记录电流和/或电压响应。电流响应可以是提供给衬底的电流,而电压响应可以是衬底与给定参比物(例如,阳极或参比电极)之间的电势。可以在特定时间或一段时间内测量电流和/或电压响应,以创建电流迹线和/或电压迹线。在许多情况下,在浸入期间和/或浸入后不久测量并记录电流响应和/或电压响应。在大多数情况下,电流响应和/或电压响应会在初始或完全浸入后的前10秒钟内提供有关衬底表面上是否存在氧化物的相关信息。在许多情况下,电流响应和/或电压响应会在更短的时间段内提供此信息,例如,在初始或完全浸入后5秒钟内,或在初始或完全浸入后1秒内,或在初始或完全浸入后0.5秒内,或在初始或完全浸入后约0.25秒内提供此信息。在各种实施方案中,电流响应和/或电压响应可以在这些范围内被测量一次(或多次)。
在一示例中,操作209涉及将衬底以零施加的电流浸入(通常称为冷进入),并且操作211涉及测量衬底与参比(例如,阳极或参比电极)之间的开路电势。在另一示例中,操作209涉及在向衬底施加电流/控制流向衬底的电流的同时浸入衬底,并且操作211涉及测量衬底与参比物之间的电势。在另一示例中,操作209涉及在施加/控制衬底与参考之间的电势的同时浸入衬底,而操作211涉及测量提供给衬底的电流。
接下来,在操作213,将在操作211中测得的电流和/或电压响应与阈值响应进行比较。在一示例中,使用基于时间的监视,其中在浸没之后的特定时间(例如,在目标时间)测量电流和/或电压,然后将其与阈值电流和/或阈值电压进行比较。可以基于校准程序来选择阈值电流和/或阈值电压(以及测量电流/电压时的目标时间),该校准程序被设计成区分期望的衬底表面条件(例如,其中衬底表面无氧化物,或仅存在可忽略不计的氧化物数量)和不希望的衬底表面条件(例如,其中衬底表面存在的氧化物数量多于可忽略不计)。这种校准技术将在下面进一步讨论。在某些示例中,目标时间可以在约10ms至10s之间。目标时间取决于衬底表面上存在的任何氧化物溶解在电解液中所需的时间。该时间可能受到多种因素的影响,这些因素包括但不限于衬底上的金属类型、电解液的pH(较低的pH导致氧化物更快地溶解)以及表面上的氧化物的量。对于某些电解液/金属组合,目标时间范围可能会超出10ms至10s的范围。
在另一示例中,可以使用基于电流和/或电压的监视。在这样的情况下,操作211可以包括监视电流响应和/或电压响应达到特定目标电流或目标电压所花费的时间。然后可以在操作213中将该时间与用于达到特定目标电流/目标电压的阈值时间进行比较。可以基于下面描述的校准技术来选择阈值时间和目标电流/电压。在另一示例中,可以使用基于最大电流和/或基于最大电压的监视。在这些情况下,操作213可以包括将在操作211中测得的最大电流和/或最大电压与阈值最大电流或阈值最大电压进行比较。阈值最大电流和阈值最大电压可以基于下面描述的校准技术来确定。在另一示例中,可以使用更复杂的监视方法。例如,操作213可以涉及随时间对电流和/或电压响应进行积分,以及将积分电流响应和/或积分电压响应与阈值积分电流和/或阈值积分电压进行比较。如本文所使用的,除非另外说明,否则术语“阈值电流”可以指目标时间的阈值电流、或阈值最大电流、或阈值积分电流。类似地,除非另外说明,否则术语“阈值电压”可以指目标时间的阈值电压、或阈值最大电压、或阈值积分电压。在图3A和3B的背景中,可以更好地理解操作213中用于比较的各种选项,如下面将进一步描述的。
操作213中的比较可以用来确定在衬底的表面上是否存在氧化物。下面进一步讨论的实验结果表明,电流/电压迹线对衬底表面上氧化物的存在很敏感。这样,这些值可用于评估/监视表面氧化物,而无需使用单独的度量工具。有利地,这些方法可以以高精度在图案化的衬底上使用,而不会使特征变形并且不需要对复杂的光信号进行去卷积/解码。
在操作215,对衬底进行电镀。在某些情况下,该材料可以在较早的阶段开始沉积,例如在操作209,当将衬底浸入电解液中时开始沉积。值得注意的是,在图2中描述的方法不涉及将衬底传送到单独的度量工具或传送来自单独的度量工具的衬底。这样,消除了与这种传送相关联的排队时间。消除这种排队时间使得在预处理之后和电镀之前在衬底表面上形成氧化物的风险减小(例如,因为可以消除等待度量工具变得可用的几个小时的排队时间)。而且,由于表征衬底表面的度量是在电镀期间(例如,在许多情况下在浸入期间和/或在浸入之后立即)进行的,因此,度量结果更可能准确地反映电镀衬底时的表面条件。
为了分析在操作211中产生的电流和/或电压数据,可以使用校准程序来识别适当的电流和/或电压响应的范围。这样的响应可以指示衬底的表面充分不含氧化物,并且与表示衬底的表面包括多于可忽略不计的氧化物的数量的响应相区别。校准过程可以包括电镀具有不同量的氧化物存在于衬底表面上的一系列校准衬底,并记录在浸入期间和/或紧随浸入之后的电流和/或电压。一些校准衬底在表面上可能没有氧化物,一些校准衬底在表面上可能具有可忽略的/可接受数量的氧化物,并且一些校准衬底在表面上可能具有不可接受数量的氧化物。通过在不同的校准衬底之间包括一系列的表面氧化物状况,可以识别出表示衬底表面充分不含氧化物的电流和/或电压响应,并将这些响应与指示衬底表面包含过多氧化物的响应区分开来。
电镀校准衬底时应控制各种因素。这些因素通常应反映在电镀用于制造的衬底(例如,校准衬底以外的衬底)时将使用的条件。在校准衬底和随后处理的衬底上的电镀之间应加以控制并保持均匀的因素包括但不限于:(1)衬底的尺寸(例如直径);(2)衬底的材料,包括籽晶层的材料;(3)衬底的结构,包括籽晶层的厚度、下层结构的存在以及特征的布局;(4)在浸入期间和/或浸入后立即所施加的电流和/或施加的电压(如果有);(5)测量电流和/或电压所处的(或经历的)时间;(6)电解液的组成(包括例如pH、促进剂的浓度、抑制剂的浓度、整平剂的浓度、其他添加剂的浓度、卤化物的浓度、金属离子的浓度等);(7)进入条件(例如,竖直的浸入速度、浸入期间的倾角和速度、浸入期间的衬底旋转速度等);以及(8)任何相关的处理条件,例如电解液温度、衬底温度、压力等。
在多种实施方案中,所列出的因素中的一个或多个(在某些情况下全部)在用于处理校准衬底的因素和用于处理生产衬底的因素之间没有实质性的变化。如本文所使用的,这意味着所列的因素与用于生产衬底的因素相比变化不会超过约5%。在一示例中,将生产衬底以10cm/s的竖直速度浸入,并且将校准衬底以9.5-10.5cm/s(10cm/s*0.05=0.5,使得竖直浸入速度的可接受范围是10cm/s±0.5cm/s)的竖直速度浸入。在一些示例中,与用于生产衬底的相比,所列因素中的一个或多个(在某些情况下为全部)变化不超过约2%。
图3A示出了在电镀之前具有不同表面条件的一系列校准衬底的电压迹线。这些电压迹线是通过在浸入期间对每个校准衬底施加开路条件(施加的电流为零),并随时间推移测量每个校准衬底的开路电压而获得的。在图3A的情况下,籽晶层是钴籽晶层。一种校准衬底没有暴露于任何预处理程序,因此在衬底表面上存在不可接受的大量天然表面氧化物。其余的校准衬底经过各种预处理工艺,包括将衬底暴露于含氢等离子体中,以将氧化钴还原为钴金属。预处理工艺在各种温度(75℃、150℃和250℃)下进行,持续时间为30或120秒。通常,期望在更高的温度和/或更长的时间段内进行的预处理导致表面氧化物的更大程度的还原(直至基本上除去氧化物的点)。在最低温度(75℃)下进行最短时间(30秒)的预处理工艺并未导致去除所有表面氧化物,这表明开路电势的幅值与经历更高温度和/或更长时间预处理工艺的其余衬底相比明显更大。
如关于图2的操作211和213所描述的,可以以各种方式分析电流响应和/或电压响应。在一示例中,可以在特定目标时间(或几个目标时间)评估开路电势的幅值,其中选择目标时间以区分(1)氧化物不存在或仅存在可忽略不计的量的情况,与(2)氧化物以大于可忽略不计的量存在的情况。在图3A的背景中,该目标时间可以选择为例如浸入后约0.5秒。在目标时间,可以选择阈值电压,其中幅值小于阈值电压的电压响应对应于氧化物不存在或以可接受的低水平存在的情况,而幅值大于阈值电压的电压响应对应于氧化物以不可接受的含量存在的情况。类似的方法可以用于在目标时间将电流响应与阈值电流进行比较。
在另一示例中,所述数据可以用于确定电压响应和/或电流响应达到特定目标电压或目标电流的时间。可以选择目标电压或目标电流以区分如上所述的情况(1)和(2)。在目标电压或目标电流下,可以选择阈值时间,其中早于阈值时间达到目标电压或目标电流的衬底对应于氧化物不存在或以可接受的低含量存在的情况,以及在阈值时间之后达到目标电压或目标电流的衬底对应于氧化物以不可接受的高含量存在的情况。
在另一示例中,所述数据可以用于确定最大电压响应或最大电流响应。虽然很难在图3A所示的时间范围内看到,但是具有不同表面氧化物状况的衬底表现出不同的最大/峰值电压响应。基于这些响应,可以选择阈值最大电压以区分上述的情况(1)和(2)。类似地,在监视电流响应的情况下,可以选择阈值最大电流以区分情况(1)和(2)。分别表现出具有比阈值最大电压或阈值最大电流的幅值小的幅值的最大电压响应或最大电流响应的衬底对应于氧化物不存在或以可接受的低含量存在的情况。
相反,表现出具有比阈值最大电压或阈值最大电流的幅值大的幅值的最大电压响应或最大电流响应的衬底对应于氧化物以不可接受的高含量存在的情况。
在另一示例中,数据可以在目标时间范围内被积分。例如,可以在目标时间范围内对电压响应进行积分以确定积分电压响应。同样,电流响应可以在目标时间范围内积分以确定积分电流响应。在多种实施方案中,使用电压响应和/或电流响应的绝对值,并且仅基于电压响应和/或电流响应的幅值(而不是符号)随时间推移来执行积分。通过仅考虑电压/电流响应的幅值/绝对值,可以忽略某些定义差异(例如,电压的极性)。可以选择阈值积分电压响应或阈值积分电流响应来区分如上所述的情况(1)和(2)。分别表现出小于阈值积分电压或阈值积分电流的积分电压响应或积分电流响应的衬底对应于氧化物不存在或以可接受的低含量存在的情况。相反,表现出大于阈值积分电压或阈值积分电流的积分电压响应或积分电流响应的衬底对应于氧化物以不可接受的高含量存在的情况。
图3A中的结果表明在约9-10秒后从未处理的膜中完全除去了氧化物。此外,对于暴露于不同预处理的校准衬底,稳态开路电势存在细微差异,而更具侵蚀性的预处理通常会导致稳态开路电势的幅值略低。这些差异可能是由于籽晶层在预处理过程中发生的结构变化而导致的。
图3B示出了在电镀之前具有不同表面条件的一系列校准衬底的电压迹线。如同图3A中的结果,通过在浸入期间对每个校准衬底施加开路条件,并随时间推移测量每个校准衬底的开路电压,获得图3B中的结果。在图3B的情况下,籽晶层是铜(与结合图3A使用的钴籽晶层相反)。一个校准衬底没有暴露于任何预处理工艺,因此表面上存在的天然氧化物含量高到不可接受的程度。另一个校准衬底没有经过任何预处理工艺,并且在其上也沉积有200埃厚的氧化物层。厚度为200埃的氧化物层被认为是不可接受的高含量的氧化物。将其余的校准衬底分别进行预处理工艺,该预处理工艺包括将衬底暴露于含氢等离子体以将表面的氧化铜还原为铜金属。预处理工艺在75℃进行15或60秒。在此,具有厚的氧化层的校准衬底显示出最高幅值的开路电势。未进行任何预处理且表面上具有天然氧化物的校准衬底显示出幅值降低的开路电势。对于经历预处理工艺的校准衬底,开路电势的幅值仍然较低。
这些结果可用于识别在浸入期间和/或浸入之后给定目标时间(或多个给定目标时间)的可接受的开路电势范围。例如,可以将可接受范围设置为包括经过预处理的衬底所经历的开路电势,并且不包括未经预处理的衬底所经历的开路电势。如关于图3A所描述的,选择测量开路电势(或其他电响应)的目标时间,以区分氧化物数量可接受(例如,无或可忽略)的情况与氧化物数量不可接受(例如,大于可忽略不计的量)的情况。类似地,数据可用于选择一个或多个目标时间或时间范围、目标电压、目标电流、阈值时间、阈值电压、阈值电流、阈值最大电压、阈值最大电流、阈值积分电压、阈值积分电流等。可以选择这些目标和阈值以区分不同的表面氧化物状况,如本文所述。图3B的结果表明两种预处理工艺均导致完全还原天然氧化物。
虽然图3A和3B是在施加开路条件和测量开路电压的情况下给出的,但所述方法不受限于此。如上所述,该方法还可包括施加特定的电流条件并测量电压响应,或施加特定的电压条件并测量电流响应。
在某些实现方式中,电流和/或电压迹线可以用于提供直接影响电镀过程的控制方式的反馈。例如,电流和/或电压迹线可用于确定天然氧化物从衬底表面完全(或充分)去除的时间点。在一示例中,在电流响应或电压响应表示存在于衬底表面上的任何氧化物已经溶解之后,可以将用于将材料电镀到衬底上的施加的电流或施加的电压施加至衬底。这可以通过电流迹线或电压迹线达到特定值(可以根据上述校准过程确定)或达到稳定状态来表示。通过等待电流和/或电压响应达到特定值或稳定状态,确保电镀工艺直到表面上存在的任何氧化物被去除后才开始(或才实质上开始)。这减少了在电镀工艺中形成空隙的风险,并导致形成在不同衬底之间均匀的高质量膜。
在一些实施方案中,可响应于衬底在其表面上包括多于可忽略不计的量的氧化物(例如,当电响应的幅值不在期望/阈值范围内时)的表示而采取一种或多种特定动作。在一示例中,可以停止电镀装置和/或给出警告。在这些或其他示例中,可以停止预处理装置。在这些或其他示例中,可能会发生故障排除以确定进入的衬底为何显示出比预期量更多的氧化物。在某些情况下,衬底可能会发出表示表面上有大量的氧化物的警报,但是该警报可能是进入的衬底(例如,籽晶层的组成或厚度等)发生变化而没有解决的结果,而不是表面氧化物的结果。即使在这种情况下,警报也是有用的,因为它可以标记进入的衬底中的应考虑的变化。在某些情况下,响应于表面上存在太多氧化物的表示,可以扔掉一个或多个衬底。在某些情况下,可响应于衬底在表面上接收到过多的氧化物的表示来调整预处理工艺(例如,使用更高的温度和/或更长的暴露时间)。在某些情况下,可以响应于一个或多个衬底在表面上接收到过多的氧化物的表示,对各种衬底进行额外时间的预处理。这在预处理装置和电镀模块之间的排队时间显著时会是有用的。
本文所述的度量方法还可用于选择用于预处理工艺的合适条件,或者类似地,用于评估预处理工艺是否成功。例如,可以如参考图3A和3B所描述的那样电镀已经经历不同预处理条件的多种测试衬底。浸入过程中和/或浸入后不久进行的度量可用于评估用于预处理每个衬底的预处理条件在充分去除表面氧化物方面是否是成功的。例如,在关于图3A测试的预处理条件中,结果表明在75℃进行30秒的预处理没有充分去除表面氧化物,如相关时间的大幅值的电压迹线所表明的(与其他经历更具侵蚀性的预处理条件的衬底相比)。同样,结果表明,在150℃、250℃和/或持续120秒的时间进行的预处理均能成功地充分去除表面氧化物,如通过(与其他经历程度最低的侵蚀性预处理或未进行预处理的衬底相比)在相关时间的电压迹线的幅值降低且幅值基本均匀所表明的。
图4是描述为预处理工艺选择条件的方法的流程图,该预处理工艺被设计成减少或以其他方式从待电镀的衬底表面去除氧化物。该方法开始于操作401,其中使用不同的预处理条件组对多个衬底(有时称为校准衬底)进行预处理。根据一组预处理条件对每个衬底进行预处理。然而,应理解,某些衬底可能根本不进行预处理(在这种情况下,预处理条件可能指定不进行任何预处理)和/或衬底具有有意在其上沉积的氧化物层。已知包含不可接受的量的在表面上的氧化物的衬底可以提供可以用于进行比较的基线,例如,如关于图3A和3B所描述的,其分别包括至少一个未经预处理的衬底。预处理条件可包括多种处理变量,这些变量包括但不限于衬底暴露所针对的气体/等离子体/液体的组成和流速、这种暴露的持续时间、保持衬底的温度、用于产生等离子体(如果有的话)的功率等级、用于产生等离子体(如果有的话)的占空比、用于产生等离子体(如果有的话)的频率、压力等。不同的预处理条件组相对于至少一个处理变量彼此不同。不同的预处理条件组可以覆盖一系列可用的处理条件,可用的处理条件包括各种温度、暴露时间、压力等。在图3A中,测试了七组不同的处理条件(包括其中没有进行预处理的一组),其涵盖了三个不同的温度和两个不同的等离子体暴露持续时间。
对每个衬底进行操作409和411。在操作409中,将衬底浸入电解液中。操作409类似于图2的操作209。接下来,在操作411,在浸入期间和/或浸入之后不久测量电流和/或电压响应。操作411类似于图2的操作211。在一示例中,操作409涉及在开路条件(例如,施加零电流)下将衬底浸入,而操作411涉及测量开路电压响应。在另一示例中,操作409涉及在固定的非零电流下将衬底浸入,而操作411涉及测量电压响应。在另一示例中,操作409涉及在固定电势下将衬底浸入,而操作411涉及测量电流响应。在任何情况下,可以在浸入期间和/或浸入之后立即控制施加到衬底的电压或电流,并且可以测量其他变量(例如,电流或电压)的响应。任选地,在初始浸入并在操作409和411中进行测量之后,可以电镀每个衬底,但这对于评估不同组的预处理条件不是必需的。
接下来,在操作417中,对于各种衬底,比较在操作411中测得的电流和/或电压响应,以确定哪些预处理条件组在充分去除表面氧化物方面是成功的,以及哪些预处理条件组不是成功的。可以如图3A和3B所描述的那样进行确定,其中不成功的预处理导致电响应具有相对较大的幅值,并且成功的预处理导致(在开始浸入之后的相关时间)电响应具有相对较低和基本均匀的幅值。
在测试了至少一个已知包含表面氧化物的衬底的情况下,暴露于足以充分去除氧化物的预处理的衬底比已知在表面上包含氧化物的衬底将表现出显著更小的幅值的电响应。暴露于未充分去除氧化物的预处理的衬底将显示出具有与已知在表面上包括氧化物的衬底更接近的幅值的电响应,如参考图3A和3B所描述的。
应当理解,尽管将各种操作描述为在多个衬底上发生,但是这些过程可以依次发生,使得在给定的时间在特定的处理室中仅单个衬底(或衬底的某些子集)被处理(例如,预处理或电镀)。在一些情况下,处理装置可以被配置为同时处理多个衬底。
在图4中描述的方法可以用来测试预处理方法是否成功,并且类似地,可以用来为特定应用选择使得表面氧化物充分去除的预处理条件组。
本文描述的技术提供了优于常规处理方案的许多优点。首先,所公开的方法显著减少了特定衬底在等待处理的队列中花费的时间量。因为在电镀工艺的初始部分期间,度量直接在电镀室中进行,所以不需要将衬底往来于单独的度量工具传送。在某些情况下,可以直接在电镀装置中(例如,在预处理模块中,该模块可以是液体处理模块、气体处理模块或等离子处理模块)对衬底进行预处理,然后可以几秒钟内(例如10秒)将其传送到电镀室/模块。因为最小化或消除了排队时间,所以在预处理之后和电镀之前,氧化物在衬底表面生长的风险大大降低。这也意味着度量结果可以更准确地反映出预处理工艺去除氧化物材料的效果如何,并且更准确地反映出在衬底上进行电镀时相关的衬底上条件。
所公开的实施方案也是有利的,因为它们提高了生产率。例如,只需很少甚至不需要额外的时间即可监测表面氧化物。替代度量技术通常需要几个小时的周转时间,有时是由于排队时间所导致。
所公开的实施方案的另一个优点是,所述技术可以以高程度的精度用于图案化和未图案化的衬底上。如上所述,例如,由于度量技术使图案中形成的特征变形,或者因为图案使得难以解码所得信号(例如,光信号),因此各种常规度量技术难以或不可能应用于图案化的衬底。相关地,所公开的技术可以在用于生产的衬底(称为生产衬底,其可以不同于校准衬底和/或测试衬底)上使用。生产衬底被制成商业产品,而不是被有意地报废。某些传统的度量技术只能在“牺牲”衬底上使用,例如,因为衬底在度量期间会变形。总体上,这样的牺牲衬底会很快变得昂贵。相比之下,使用所公开的技术,可以在每个生产衬底上进行度量,而不会代价高昂地损失任何有用的衬底。
此外,所公开的方法是有利的,因为度量方法被设计为测量关于表面氧化物对电镀的影响的最直接相关的性质(I/V性能)。诸如测量薄层电阻或光学性质的常规度量方法均测量由表面氧化物的存在导致的性质。但是,与I/V性能相比,这些测得的性质与电镀工艺没有那么直接关联/相关的关系。
所公开的技术也是有益的,因为它们使得能够进行工具上的监视(on-toolmonitoring)。可以直接在电镀装置中监控衬底,而无需任何单独的度量工具。这大大降低了度量成本。
装置
本文中所描述的方法可以通过任何合适的装置来执行。根据本发明的实施方式,合适的装置包括用于完成处理操作的硬件和具有用于控制处理操作的指令的系统控制器。例如,在一些实施方式中,硬件可以包括包含在处理工具的一个或多个处理站。图5-7给出了合适的电镀装置的示例。然而,本领域普通技术人员理解,所公开的技术可以与基本上任何电镀装置和任何预处理装置结合使用。
图5示出了其中可以进行电镀的电镀池的示例。通常,电镀装置包括在其中处理衬底(例如晶片)的一个或多个电镀池。为保持清晰,图5仅示出了一个电镀池。为了优化自下而上的电镀,可以将添加剂(例如,促进剂、抑制剂和整平剂)加入到电解液中;然而,具有添加剂的电解液会与阳极以不期望的方式发生反应。因此,电镀池的阳极区和阴极区有时通过膜分离,使得可以在每个区域中使用不同组成的电镀液。在阴极区域内的电镀液被称为阴极电解液;而在阳极区内的电镀液被称为阳极电解液。可以使用多个工程设计以将阳极电解液和阴极电解液引入电镀装置。
参考图5,示出了根据一实施方案的电镀装置501的示意性横截面图。镀浴503包含电镀液(具有如本文中提供的组分),其以液面505示出。该容器的阴极电解液部适于将衬底接收在阴极电解液内。晶片507浸入到电镀液中,并通过例如安装在可旋转心轴511上的“蛤壳式”衬底保持器509保持,从而使得蛤壳式衬底保持器509能与晶片507一起旋转。具有适合于与本发明一起使用的方面的蛤壳式电镀装置的一般说明在授予Patton等的美国专利No.6,156,167以及授予Reid等的美国专利No.6,800,187中被详细描述,这些文献通过引用将其全部内容并入本文中。
阳极513在镀浴503内被布置在晶片下方,并通过膜515(优选离子选择性膜)与晶片区分隔开。例如,可使用NafionTM阳离子交换膜(CEM)。阳极膜下面的区域通常被称为“阳极室”。离子选择性阳极膜515使得在电镀池的阳极区和阴极区之间能离子连通,同时防止在阳极处产生的颗粒进入晶片附近位置并污染晶片。在电镀过程中重新分配电流流量并由此改善电镀均匀性方面,阳极膜也是有用的。在授予Reid等的美国专利No.6,126,798和No.6,569,299中提供了合适的阳极膜的详细描述,两者的全部内容都通过引用并入本发明。例如阳离子交换膜之类的离子交换膜是特别适合于这些应用的。这些膜通常是由离聚物材料制成的,离聚物材料如含有磺酸基的全氟化共聚物(如NafionTM)、磺化聚酰亚胺类、和本领域技术人员公知的适合于阳离子交换的其它材料。选择的合适的NafionTM膜的实例包括可得自Dupont de Nemours Co.的N324和N424膜。
在电镀过程中,电镀液中的离子被沉积在衬底上。金属离子必须扩散通过扩散边界层并进入TSV孔或其他特征。协助扩散的一种典型的方式是通过由泵517提供的电镀液的对流流动。另外,可以使用振动搅动或声波搅动构件,也可使用晶片旋转。例如,振动换能器508可以被附接到蛤壳式衬底保持器509。
电镀液经由泵517连续被提供到镀浴503。通常,该电镀液向上流动穿过阳极膜515和扩散板519至晶片507的中心,然后沿径向向外并跨过晶片507。电镀液也可以从镀浴503的侧面被提供至该浴的阳极区域。电镀液然后溢出镀浴503到溢流储液器521中。电镀液然后被过滤(未示出)并返回到泵517,从而完成电镀液的再循环。在电镀池的某些配置中,不同的电解液通过其中包含阳极的电镀池的部分循环,同时谨慎地使用渗透膜或离子选择性膜防止与主要的电镀液混合。
参比电极531位于镀浴503外并在单独的室533中,该室通过主镀浴503的溢流得到补充。替代地,在一些实施方案中,参比电极被定位成尽可能靠近衬底表面。并且参比电极室通过毛细管或通过其它方法连接到晶片衬底的侧面或在晶片衬底的正下方。在一些优选的实施方式中,该装置还包括接触感测引线,该接触感测引线连接到该晶片周缘并被构造为感测在晶片周缘的金属籽晶层的电位,但不携带任何电流到晶片。
当期望以受控电势进行电镀时,通常采用参比电极531。参比电极531可以是多种常用类型中的一种,例如汞/硫酸汞、氯化银、饱和甘汞或铜金属。除了参比电极之外,在一些实施方案中,还可以使用与晶片507直接接触的接触感测引线,以进行更精确的电势测量(未示出)。
直流电源535可以被用于控制流动至晶片507的电流。电源535具有通过一个或多个滑环、电刷和触点(未示出)电连接到晶片507的负输出引线539。电源535的正输出引线541电连接到位于镀浴503中的阳极513。电源535、参比电极531和接触感测引线(未示出)可以被连接到系统控制器547,从而使得尤其是能够调节提供给电镀池的元件的电流和电位。例如,控制器可以允许在电位受控和电流受控的状态下电镀。该控制器可以包括程序指令,该程序指令指定需要被施加到电镀池的各种元件的电流和电压电平以及需要改变这些电平的时间。当施加正向电流时,电源535向晶片507施加偏置以使其相对于阳极513具有负电位。这导致电流从阳极513流动至晶片507,且电化学还原(e.g.Cu2++2e-=Cu0)发生在晶片表面(阴极)上,从而导致在晶片的表面上的导电层(例如铜)的沉积。惰性阳极514可以被安装在电镀浴503内的晶片507下面,并通过膜515与晶片区分离。
该装置还可以包括用于将电镀液的温度保持在特定水平的加热器545。电镀液可用于将热传递到镀浴中的其它元件。例如,当晶片507被装入到镀浴中时,加热器545和泵517可以接通,以使电镀液通过电镀装置501循环,直到在整个装置中的温度变得大致均匀为止。在一个实施方式中,加热器连接到系统控制器547。系统控制器547可以被连接到热电偶以接收在电镀装置内的电镀液温度的反馈并确定对于额外的加热的需求。
控制器通常会包括一个或多个存储器设备和一个或多个处理器。该处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等等。在某些实施方式中,控制器控制电镀装置的所有活动。可以将包含根据本实施方案的用于控制工艺操作的指令的非暂时性机器可读介质耦合到系统控制器。
通常会存在与控制器547相关联的用户界面。用户界面可以包括显示屏幕、装置和/或工艺条件的图形软件显示器和用户输入设备,诸如指针设备、键盘、触摸屏、麦克风等。用于控制电镀工艺的计算机程序代码可以用任何常规的计算机可读编程语言写入,该计算机可读编程语言例如,汇编语言、C、C++、Pascal、Fortran或其它。编译后的目标代码或脚本由处理器执行以执行在程序中识别的任务。可以根据本文的实施方案使用的电镀装置的一示例是Lam Research Saber工具。可以在形成较大电沉积装置的组件中执行电沉积。
图6示出了示例性电沉积装置的俯视图的示意图。电沉积装置600可以包括三个分离的电镀模块602、604和606。电沉积装置600还可以包括被配置用于各种处理操作的三个分离的模块612、614和616。例如,在一些实施方案中,模块612、614和616中的一个或多个可以是旋转漂洗干燥(SRD)模块。在其他实施方案中,模块612、614和616中的一个或多个可以是后电填充模块(PEM),每个模块被配置成在衬底已经通过电镀模块602、604和606中的一个处理后,对衬底执行操作,诸如倒角边缘去除、背面蚀刻和酸清洁。
电沉积装置600包括中央电沉积室624。中央电沉积室624是保存用作电镀模块602、604和606中的电镀液的化学溶液的室。电沉积装置600还包括配料系统626,配料系统626可以存储和输送用于电镀液的添加剂。化学稀释模块622可以存储和混合拟被用作蚀刻剂的化学品。过滤和抽排单元628可以过滤中央电沉积室624的电镀液,并将其泵送至电镀模块。
系统控制器630提供用于操作电沉积装置600的电子和界面控件。系统控制器630(其可以包括一个或多个物理或逻辑控制器)控制电镀装置600的性质的部分或全部。
用于监控处理的信号可以通过系统控制器630的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实施例包括质量流量控制器、压力传感器(例如压力计)、热电偶、光学位置传感器等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持处理条件。
传递(hand-off)工具640可以从诸如盒642或盒644之类的衬底盒选择衬底。盒642或644可以是前开式标准盒(FOUP)。FOUP是设计用来可靠且安全地将衬底保持在受控环境中并使得衬底能被移除以通过配备有适当的装载口和自动装卸系统的工具进行处理或测量的外壳。传递工具640可以使用真空附着件或一些其它附连机构保持衬底。
传递工具640可以与晶片装卸站632、盒642或644、传送站650或对准器648连接。传送工具646可以从传送站650获得衬底。传送站650可以是狭槽或位置,传递工具640和646可以往来于传送站650传送衬底而不通过对准器648。然而,在一些实施方式中,为了确保在衬底适当地对准传递工具646以精确地传送到电镀模块,传递工具646可以使衬底与对准器648对准。传递工具646也可以将衬底传送到电镀模块602、604或606中的一个,或传送到被构造成用于各种处理操作的独立模块612、614和616中的一个。
根据上述方法的处理操作的示例可以如下进行:(1)将铜或另一种材料电沉积到电镀模块604中的衬底上;(2)在模块612的SRD中冲洗并干燥衬底;(3)在模块614中执行边缘倒角去除。
被配置为使得衬底能顺序地通过电镀、漂洗、干燥和PEM处理操作的高效循环的装置可用于在制造环境中使用的实现方式。为了实现这一点,模块612可以被配置成为旋转漂洗干燥机和倒角边缘去除室。利用这样的模块612,衬底将只需要在电镀模块604和模块612之间进行传送以进行镀铜和EBR操作。在一些实施方案中,本文描述的方法将在包括电镀装置和步进器的系统中实现。
在图7中示意性地示出了电沉积装置700的替代实施方案。在本实施方式中,电沉积装置700具有成组的电镀池707,每个包含成对的或多个“二重”配置的电镀浴。除了电镀本身以外,电沉积装置700还可以执行各种其它的电镀相关的处理和子步骤,诸如例如旋转漂洗、甩干、金属和硅润湿蚀刻、无电沉积、预润湿和预化学处理、还原、退火、光致抗蚀剂剥离以及表面预活化。在多种实施方案中,电沉积装置700可以包括一个或多个模块,所述模块被配置为对衬底进行预处理以减少或以其他方式去除存在于衬底表面上的表面氧化物(例如,通过暴露于含氢等离子体或任何本文提到的其他预处理进行)。该装置可以包括或可以不包括适于在真空下将衬底从预处理模块传送至电镀模块的加载锁。在图7中从上向下示意性地示出了电沉积装置700,并且只有单一的水平层或“地板”显露在图中,但本领域普通技术人员应当很容易地理解的是,这种装置,例如,Novellus SabreTM 3D工具可以具有上下“堆叠”的两个或多个水平层,每层可能具有相同或不同类型的处理站。
再次参见图7,拟被电镀的衬底706通常通过前端装载FOUP 701供给到电沉积装置700,并且在这个实施例中,经由前端机器手702从FOUP带到电沉积装置700的主衬底处理区域,前端机器手702可以缩回并将由主轴703在多个维度驱动的衬底706从可访问站中的一个站移动到另一个站,在该实施例中,示出了两前端可访问站704以及两前端可访问站708。前端可访问站704和708可以包括例如预处理站和旋转漂洗干燥(SRD)站。从前端机器手702的一侧到另一侧的横向运动利用机器手轨道702a来实现。衬底706中的每一个可以由通过连接到马达(未示出)的主轴703驱动的杯/锥体组件(未示出)来保持,马达可以附着到安装托架709上。在本实施例中还示出了四个“二重”的电镀池707,总共八个电镀池707。系统控制器(未示出)可以耦合到电沉积装置700,以控制电沉积装置700的属性中的部分或全部。系统控制器可被编程或以其它方式被配置成根据本文前面描述的处理来执行指令。
系统控制器
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
在特定示例中,系统控制器可以被配置为如参考图2所描述的那样传送衬底,对衬底进行预处理以及对衬底进行电镀。例如,系统控制器可以被配置为将衬底浸入并在浸入期间和/或紧随其后测量电流和/或电压响应。系统控制器还可被配置为将目标时间的电流响应与阈值电流进行比较。在某些情况下,系统控制器可以配置为将目标时间的电压响应与阈值电压进行比较。在某些情况下,系统控制器可以配置为将电压响应达到目标电压所需的时间与阈值时间进行比较。在某些情况下,系统控制器可以配置为将电流响应达到目标电流所需的时间与阈值时间进行比较。在一些情况下,系统控制器可以被配置为将最大电流响应与阈值最大电流进行比较。在一些情况下,系统控制器可以被配置为将最大电压响应与阈值最大电压进行比较。在一些情况下,系统控制器可以被配置为将在目标时间范围内积分的电流响应与阈值积分电流进行比较。在一些情况下,系统控制器可以被配置为将在目标时间范围内积分的电压响应与阈值积分电压进行比较。可以基于本文所述的校准程序选择各种目标和阈值,并且可以选择各种目标和阈值以区分表面氧化物状况(例如,很少或没有氧化物)可接受的情况和表面氧化物状况(例如,对于该特定应用而言,氧化物过多)不可接受的情况。在某些情况下,系统控制器可以被配置为确定在浸入期间/浸入之后的时间在衬底表面上是否仍存在氧化物,例如以确定何时施加电信号以发起电镀。类似地,系统控制器可以被配置为使用不同的预处理条件组对衬底进行预处理,如参考图4所描述的。系统控制器可以被配置为将每个衬底浸入电解液中并测量所得的电流和/或电压响应,并且比较电流和/或电压响应以确定哪些预处理条件组在充分去除了表面氧化物方面是成功的。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,他们组合以控制室上的工艺。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,取决于工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
上述的各种硬件和方法的实施方式可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,其上形成有氮化硅膜的衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或其它合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;
(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台或喷射显影器之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
应当理解的是,本文所述的配置和/或方法本质上是示例性的,并且这些具体实施方式或实施例不应被认为是限制性的,因为许多变化是可能的。本文中所描述的具体例程或方法可表示任何数量的处理策略中的一种或多种。因此,所说明的各种操作可以按所说明的顺序、以其它顺序、并行、或在某些情况下省略执行。类似地,上述工艺的顺序可以改变。
本公开的内容主题包括各种处理、系统和配置以及其它特征、功能、操作和/或本文公开的属性以及任何和所有的等同物的所有新颖的和非显而易见的组合和子组合。

Claims (21)

1.一种确定衬底是否包含在该衬底的表面上的不可接受的大量氧化物的方法,该方法包括:
(a)将所述衬底容纳在电镀室中;
(b)将所述衬底浸入电解液中,其中在将所述衬底浸入期间和/或之后立即:
(i)控制施加到所述衬底的电流,或
(ii)控制在所述衬底和参比物之间施加的电压;
(c)在浸入期间和/或浸入之后立即测量电压响应或电流响应,其中:
(i)如果在(b)(i)中控制了施加到所述衬底的所述电流,则测量所述电压响应,或者
(ii)如果在(b)(ii)中控制了施加到所述衬底的电压,则测量所述电流响应;
(d)将(c)中测得的所述电压响应或所述电流响应与阈值电压、阈值电流或阈值时间进行比较,其中选择所述阈值电压、所述阈值电流或所述阈值时间以区分(1)所述衬底包含存在于所述衬底的所述表面上的所述不可接受的大量氧化物的情况和(2)所述衬底包含存在于所述衬底的所述表面上的可接受的少量氧化物或所述衬底的所述表面上不存在氧化物的情况;以及
(e)基于(d)中的所述比较,确定所述衬底是否包含在所述衬底的表面上的所述不可接受的大量氧化物。
2.根据权利要求1所述的方法,其中在(b)期间,控制施加到所述衬底的所述电流,并且其中在(c)期间,测量所述电压响应。
3.根据权利要求2所述的方法,其中在(b)期间,将施加到所述衬底的所述电流控制为非零电流。
4.根据权利要求2所述的方法,其中在(b)期间,将施加到所述衬底的所述电流控制在零电流的水平,并且其中在(c)期间,测量所述电压响应,其中所述电压响应是开路的电压响应。
5.根据权利要求1所述的方法,其中在(b)期间,控制施加在所述衬底与所述参比物之间的电压,并且其中在(c)期间,测量所述电流响应。
6.根据权利要求1所述的方法,其中,所述参比物是阳极或参比电极。
7.根据权利要求1所述的方法,其中,基于校准程序来选择所述阈值电流、所述阈值电压和/或所述阈值时间。
8.根据权利要求7所述的方法,其中,所述校准过程包括:
(f)预处理多个校准衬底,每个校准衬底使用不同的预处理条件组进行预处理;
(g)将每个校准衬底浸入电解液中;
(h)在将每个校准衬底浸入电解液期间和/或之后立即测量电压响应或电流响应;以及
(i)分析所述电压响应或所述电流响应以识别所述阈值电流、所述阈值电压和/或所述阈值时间。
9.根据权利要求8所述的方法,其中,至少一个校准衬底包含在所述衬底的表面上的不可接受的大量氧化物,并且其中至少一个校准衬底(1)包含在所述衬底的表面上的可接受的少量氧化物,或(2)在所述衬底的表面上不含氧化物。
10.根据权利要求1所述的方法,其中,在目标时间测量在(c)中测量的所述电压响应或所述电流响应。
11.根据权利要求1所述的方法,其还包括分析在(c)中测得的所述电压响应或所述电流响应,以确定所述电压响应或所述电流响应分别达到目标电压或目标电流的时间,其中(d)包括将所述电压响应或所述电流响应达到所述目标电压或所述目标电流的时间分别与所述阈值时间进行比较。
12.根据权利要求1所述的方法,其还包括确定在(c)中测量的最大电压响应或最大电流响应,其中所述阈值电压或所述阈值电流分别对应于阈值最大电压或阈值最大电流,并且其中(d)包括将所述最大电压响应与所述阈值最大电压进行比较或将所述最大电流响应与所述阈值最大电流进行比较。
13.根据权利要求1所述的方法,其还包括通过对在目标时间范围内在(c)中测量的所述电压响应或所述电流响应进行积分来确定积分电压响应或积分电流响应,其中所述阈值电压或所述阈值电流分别对应于阈值积分电压或阈值积分电流,其中,(d)包括将所述积分电压响应与所述阈值积分电压进行比较或将所述积分电流响应与所述阈值积分电流进行比较。
14.一种选择预处理条件以从生产衬底的表面去除氧化物的方法,该方法包括:
(a)提供多个校准衬底;
(b)对所述校准衬底中的至少一些进行预处理以从每个被预处理的校准衬底的表面上至少部分地去除氧化物,其中使用不同的预处理条件组对被预处理的所述校准衬底进行预处理;
(c)将每个校准衬底浸入电解液中;
(d)在将每个校准衬底浸入电解液期间和/或之后立即测量电压响应或电流响应;
(e)分析在(d)中测量的所述电压响应或电流响应,以确定哪些预处理条件组导致从相关校准衬底的所述表面上充分去除氧化物;以及
(f)基于对(e)的分析,选择用于从生产衬底的所述表面去除氧化物的预处理条件。
15.根据权利要求14所述的方法,其中,至少一个校准衬底未被预处理。
16.根据权利要求14所述的方法,其中,至少一个校准衬底包括有意沉积在其上的氧化物层。
17.根据权利要求14所述的方法,其中,至少一个校准衬底不进行预处理,并且其中,至少一个校准衬底进行预处理以从其表面完全去除所述氧化物。
18.根据权利要求14所述的方法,其还包括电镀所述生产衬底,其中,将每个校准衬底所浸入的所述电解液的组成与其中电镀所述生产衬底的所述电解液的组成实质上没有不同,其中,所述校准衬底的直径与所述生产衬底的直径实质上没有不同,其中,所述校准衬底上的籽晶层的组成与所述生产衬底上的籽晶层的组成实质上没有不同,其中,所述校准衬底上的所述籽晶层的厚度与所述生产衬底上的所述籽晶层的厚度实质上没有不同,其中,在浸入期间和/或之后不久施加到如果有的所述校准衬底的电流和/或电压的幅值与在浸入期间和/或之后不久施加到如果有的所述生产衬底的电流和/或电压的幅值实质上没有不同,其中,用于浸入所述校准衬底的竖直浸入速度与用于浸入所述生产衬底的竖直浸入速度实质上没有不同,其中用于浸入所述校准衬底的倾角和倾斜速度与用于浸入所述生产衬底的倾角和倾斜速度实质上没有不同,并且其中,在浸入期间用于旋转所述校准衬底的旋转速率与在浸入期间用于旋转所述生产衬底的旋转速率实质上没有不同。
19.根据权利要求14所述的方法,其中在(c)期间,控制施加到每个校准衬底的所述电流,并且其中在(d)期间,测量所述电压响应。
20.根据权利要求14所述的方法,其中在(c)期间,控制施加到每个校准衬底的所述电压,并且其中在(d)期间,测量所述电流响应。
21.一种电镀装置,其被配置为确定衬底是否包含在所述衬底的表面上的不可接受的大量氧化物,所述装置包括:
电镀室,其配置为容纳电解液;
电源,其被配置为:(1)向所述衬底施加电流和/或电压,并且(2)响应于所施加的所述电流和/或所施加的所述电压来测量电压响应和/或电流响应;
包含可执行指令的控制器,所述指令用于:
(a)将所述衬底容纳在电镀室中;
(b)将所述衬底浸入电解液中,其中在将所述衬底浸入期间和/或之后立即:
(i)控制施加到所述衬底的电流,或
(ii)控制在所述衬底和参比物之间施加的电压;
(c)在浸入期间和/或浸入之后立即测量电压响应或电流响应,其中:
(i)如果在(b)(i)中控制了施加到所述衬底的所述电流,则测量所述电压响应,或者
(ii)如果在(b)(ii)中控制了施加到所述衬底的电压,则测量所述电流响应;
(d)将(c)中测得的所述电压响应或所述电流响应与阈值电压、阈值电流或阈值时间进行比较,其中选择所述阈值电压、所述阈值电流或所述阈值时间以区分(1)所述衬底包含存在于所述衬底的所述表面上的所述不可接受的大量氧化物的情况和(2)所述衬底包含存在于所述衬底的所述表面上的可接受的少量氧化物或所述衬底的所述表面上不存在氧化物的情况;以及
(e)基于(d)中的所述比较,确定所述衬底是否包含在所述衬底的表面上的所述不可接受的大量氧化物。
CN201880031649.6A 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物 Active CN110622288B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311485982.3A CN117758348A (zh) 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/475,022 2017-03-30
US15/475,022 US10443146B2 (en) 2017-03-30 2017-03-30 Monitoring surface oxide on seed layers during electroplating
PCT/US2018/025265 WO2018183755A1 (en) 2017-03-30 2018-03-29 Monitoring surface oxide on seed layers during electroplating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311485982.3A Division CN117758348A (zh) 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物

Publications (2)

Publication Number Publication Date
CN110622288A true CN110622288A (zh) 2019-12-27
CN110622288B CN110622288B (zh) 2023-12-01

Family

ID=63672992

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880031649.6A Active CN110622288B (zh) 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物
CN202311485982.3A Pending CN117758348A (zh) 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311485982.3A Pending CN117758348A (zh) 2017-03-30 2018-03-29 电镀过程中监测籽晶层上的表面氧化物

Country Status (5)

Country Link
US (2) US10443146B2 (zh)
KR (1) KR20190126179A (zh)
CN (2) CN110622288B (zh)
TW (1) TWI766974B (zh)
WO (1) WO2018183755A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
KR102306152B1 (ko) * 2021-03-19 2021-09-28 한국지질자원연구원 산소센서를 이용한 금속산화물의 용해도 분석방법, 산소센서를 이용한 금속산화물의 용해도 분석장치, 산소센서를 이용한 금속제련장치 및 산소센서를 이용한 금속제련방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495558A (en) * 1982-06-25 1985-01-22 General Electric Company Method and apparatus for determining oxide film thickness
US20020074242A1 (en) * 2000-10-13 2002-06-20 Shipley Company, L.L.C. Seed layer recovery
US20020134684A1 (en) * 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
US20060096702A1 (en) * 2002-04-12 2006-05-11 Basol Bulent M Apparatus for monitoring and controlling force applied on workpiece surface during electrochemical mechanical processing
US7204639B1 (en) * 2003-09-26 2007-04-17 Lam Research Corporation Method and apparatus for thin metal film thickness measurement
CN101211818A (zh) * 2006-12-26 2008-07-02 中芯国际集成电路制造(上海)有限公司 半导体集成电路的互连结构填隙铜镀的方法与结构
US20140209471A1 (en) * 2011-09-08 2014-07-31 Clear Metals, Inc. Forming an oxide layer on a flat conductive surface
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60250686A (ja) 1984-05-25 1985-12-11 日本碍子株式会社 セラミツク配線基板の製造方法
JPS61176192A (ja) 1985-01-31 1986-08-07 株式会社日立製作所 銅と樹脂との接着方法
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JPH0713304B2 (ja) 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
JPH069309B2 (ja) 1989-09-22 1994-02-02 株式会社日立製作所 プリント回路板、その製造方法および製造装置
US5252196A (en) 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5900186A (en) 1995-12-19 1999-05-04 Morton International, Inc. Composition and method for reducing copper oxide to metallic copper
US5711851A (en) 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
WO1998016885A1 (en) * 1996-10-15 1998-04-23 Ecrm, Incorporated Transferring data from disk storage directly to a peripheral device
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6555170B2 (en) 1998-01-30 2003-04-29 Duratech Industries, Inc. Pre-plate treating system
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP3137087B2 (ja) 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
US6319384B1 (en) 1998-10-14 2001-11-20 Faraday Technology Marketing Group, Llc Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
JP3217319B2 (ja) 1998-12-11 2001-10-09 松下電器産業株式会社 半導体装置の製造方法
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6232230B1 (en) 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
JP2000208627A (ja) 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
KR100665745B1 (ko) 1999-01-26 2007-01-09 가부시키가이샤 에바라 세이사꾸쇼 구리도금방법 및 그 장치
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP2001040480A (ja) 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
US6417366B2 (en) * 1999-06-24 2002-07-09 Abbott Laboratories Preparation of quinoline-substituted carbonate and carbamate derivatives
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6222166B1 (en) 1999-08-09 2001-04-24 Watlow Electric Manufacturing Co. Aluminum substrate thick film heater
US6709523B1 (en) 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
JP3907151B2 (ja) 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
US20010024769A1 (en) 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
AUPQ667800A0 (en) 2000-04-04 2000-05-04 Chubpak Australia Pty Ltd Detection method
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US20050006245A1 (en) 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2002004048A (ja) 2000-06-20 2002-01-09 Ebara Corp 成膜方法及び装置
US6602653B1 (en) 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
US6610192B1 (en) 2000-11-02 2003-08-26 Shipley Company, L.L.C. Copper electroplating
KR20030063380A (ko) 2000-11-14 2003-07-28 세끼쑤이 케미컬 가부시기가이샤 상압 플라즈마 처리 방법 및 그 장치
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6440291B1 (en) 2000-11-30 2002-08-27 Novellus Systems, Inc. Controlled induction by use of power supply trigger in electrochemical processing
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030213561A1 (en) 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7070687B2 (en) 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US7609512B2 (en) * 2001-11-19 2009-10-27 Otter Products, Llc Protective enclosure for electronic device
JP4293752B2 (ja) 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6764952B1 (en) 2002-03-13 2004-07-20 Novellus Systems, Inc. Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper
KR100465063B1 (ko) 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US6720204B2 (en) 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US20040000488A1 (en) 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US7001641B2 (en) 2002-09-23 2006-02-21 Intel Corporation Seed layer treatment
US20040118697A1 (en) 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US6743719B1 (en) 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US20070048447A1 (en) 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US8241701B2 (en) 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
KR101229385B1 (ko) 2003-02-06 2013-02-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 제조장치
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2004342750A (ja) 2003-05-14 2004-12-02 Toshiba Corp 電子デバイスの製造方法
US7270734B1 (en) * 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
CN100564592C (zh) 2003-09-19 2009-12-02 应用材料公司 对无电沉积的终点进行检测的装置和方法
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7405157B1 (en) 2003-11-10 2008-07-29 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7879218B1 (en) 2003-12-18 2011-02-01 Novellus Systems, Inc. Deposit morphology of electroplated copper
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
TWI249789B (en) 2004-04-23 2006-02-21 United Microelectronics Corp Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene structures
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7288479B2 (en) 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
JP2006344762A (ja) 2005-06-09 2006-12-21 Renesas Technology Corp 半導体集積回路装置の製造方法
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
FR2890983B1 (fr) 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
US7662253B2 (en) 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US20070080067A1 (en) 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP4913490B2 (ja) 2006-07-18 2012-04-11 株式会社山武 容量式電磁流量計
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
SG174750A1 (en) 2006-08-30 2011-10-28 Lam Res Corp Controlled ambient system for interface engineering
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
US7794530B2 (en) 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7470617B2 (en) 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8372754B2 (en) 2007-04-11 2013-02-12 Micron Technology, Inc. Methods for removing photoresist defects and a method for processing a semiconductor device structure
US7709400B2 (en) 2007-05-08 2010-05-04 Lam Research Corporation Thermal methods for cleaning post-CMP wafers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8470390B2 (en) 2008-01-11 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
CN101551352A (zh) 2008-04-03 2009-10-07 富准精密工业(深圳)有限公司 检测铝阳极氧化皮膜出现疮孔的装置及其方法
US7951695B2 (en) 2008-05-22 2011-05-31 Freescale Semiconductor, Inc. Method for reducing plasma discharge damage during processing
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101087168B1 (ko) 2009-01-02 2011-11-25 서울대학교산학협력단 헤어핀 모양의 rna에 특이적으로 결합하는 양면성 펩타이드의 탐색 방법
TW201044462A (en) 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8119522B1 (en) 2010-11-08 2012-02-21 International Business Machines Corporation Method of fabricating damascene structures
JP2012174845A (ja) 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN103313402B (zh) * 2012-03-16 2016-12-14 华为技术有限公司 一种上行资源分配的方法、装置及系统
JP6579953B2 (ja) 2012-07-16 2019-09-25 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
FR2995912B1 (fr) 2012-09-24 2014-10-10 Alchimer Electrolyte et procede d'electrodeposition de cuivre sur une couche barriere
US20140199497A1 (en) 2013-01-14 2014-07-17 Tighe A. Spurlin Methods for reducing metal oxide surfaces to modified metal surfaces
US20150072538A1 (en) 2013-09-06 2015-03-12 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
JP6209122B2 (ja) 2014-04-02 2017-10-04 株式会社日立ハイテクノロジーズ 孔形成方法及び測定装置
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150376792A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495558A (en) * 1982-06-25 1985-01-22 General Electric Company Method and apparatus for determining oxide film thickness
US20020074242A1 (en) * 2000-10-13 2002-06-20 Shipley Company, L.L.C. Seed layer recovery
US20020134684A1 (en) * 2000-10-25 2002-09-26 Shipley Company, L.L.C. Seed layer processes
US20060096702A1 (en) * 2002-04-12 2006-05-11 Basol Bulent M Apparatus for monitoring and controlling force applied on workpiece surface during electrochemical mechanical processing
US7204639B1 (en) * 2003-09-26 2007-04-17 Lam Research Corporation Method and apparatus for thin metal film thickness measurement
CN101211818A (zh) * 2006-12-26 2008-07-02 中芯国际集成电路制造(上海)有限公司 半导体集成电路的互连结构填隙铜镀的方法与结构
US20140209471A1 (en) * 2011-09-08 2014-07-31 Clear Metals, Inc. Forming an oxide layer on a flat conductive surface
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer

Also Published As

Publication number Publication date
CN110622288B (zh) 2023-12-01
US10443146B2 (en) 2019-10-15
TW201900944A (zh) 2019-01-01
US20180282894A1 (en) 2018-10-04
US11208732B2 (en) 2021-12-28
WO2018183755A1 (en) 2018-10-04
TWI766974B (zh) 2022-06-11
CN117758348A (zh) 2024-03-26
KR20190126179A (ko) 2019-11-08
US20190390361A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
US10689774B2 (en) Control of current density in an electroplating apparatus
US10416092B2 (en) Remote detection of plating on wafer holding apparatus
TWI643980B (zh) 利用場區與特徵部之對比的矽通孔電鍍浴的評估
US11078591B2 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
US10774438B2 (en) Monitoring electrolytes during electroplating
US11208732B2 (en) Monitoring surface oxide on seed layers during electroplating
TWI692555B (zh) 鑲嵌特徵中之由下而上填充
US10358738B2 (en) Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step
CN110799833A (zh) 对晶片保持设备上的电镀的远程检测
US10508351B2 (en) Layer-by-layer deposition using hydrogen
US11225727B2 (en) Control of current density in an electroplating apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant