CN110364413B - 基板处理系统、半导体装置的制造方法及记录介质 - Google Patents

基板处理系统、半导体装置的制造方法及记录介质 Download PDF

Info

Publication number
CN110364413B
CN110364413B CN201811097521.8A CN201811097521A CN110364413B CN 110364413 B CN110364413 B CN 110364413B CN 201811097521 A CN201811097521 A CN 201811097521A CN 110364413 B CN110364413 B CN 110364413B
Authority
CN
China
Prior art keywords
processing
substrate
chamber
count data
chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811097521.8A
Other languages
English (en)
Other versions
CN110364413A (zh
Inventor
大桥直史
菊池俊之
松井俊
高崎唯史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN110364413A publication Critical patent/CN110364413A/zh
Application granted granted Critical
Publication of CN110364413B publication Critical patent/CN110364413B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4155Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by programme execution, i.e. part programme or machine function execution, e.g. selection of a programme
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Human Computer Interaction (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种基板处理系统、半导体装置的制造方法及记录介质,能够提高具有多个处理室的处理装置的生产率。基板处理系统具有:载置多个收容有多个基板的容纳容器的装载口;能够处理基板的多个处理室;将在容纳容器内容纳的多个基板按照预定顺序向多个处理室分别搬送的搬送部;生成对应于多个处理室的各自的第一计数数据的计算部;存储第一计数数据的存储部;以及控制搬送部的控制部,以使得在向对应于第一计数数据中最大计数数的处理室的下一个处理室赋予搬送标识数据、搬送容纳容器的下一个容纳容器所容纳的多个基板时,将多个基板基于搬送标识数据按照预定顺序来进行搬送。

Description

基板处理系统、半导体装置的制造方法及记录介质
技术领域
本公开涉及基板处理系统、半导体装置的制造方法及记录介质。
背景技术
在近年来的半导体装置的制造中,正进行少批次多品种化。希望提高在该少批次多品种的制造中的生产率。作为对应于该要求的对策之一,有在具有多个处理室的单晶圆式装置中,提高生产率的方法(例如,参照专利文献1)。
现有技术文献
专利文献
专利文献1:日本专利第6089082号公报
发明内容
发明要解决的课题
现有的课题是,由于处理装置中设置的处理室的数量与处理片数不一致而导致生产率下降。
本公开的目的在于,提供能够提高具有多个处理室的处理装置的生产率的技术。
解决课题的方法
根据一个实施方式,提供一种基板处理系统,具有:载置多个收容有多个基板的容纳容器的装载口、能够处理基板的多个处理室、将在容纳容器内容纳的多个基板按照预定顺序向多个处理室分别搬送的搬送部、生成对应于多个处理室的各自的第一计数数据的计算部、存储第一计数数据的存储部、和控制部,所述控制部控制搬送部,以使得在向对应于第一计数数据中最大计数数的处理室的下一个处理室赋予搬送标识数据,搬送容纳容器的下一个容纳容器所容纳的多个基板时,将多个基板基于搬送标识数据按照预定顺序来搬送。
发明效果
根据本公开所涉及的技术,能够提高具有多个处理室的处理装置中的生产率。
附图说明
图1是一个实施方式所涉及的基板处理系统的横截面的概略图。
图2是一个实施方式所涉及的基板处理系统的纵截面的概略图。
图3是一个实施方式所涉及的基板处理系统的真空搬送机器人的概略图。
图4是一个实施方式所涉及的基板处理装置的概略构成图。
图5是一个实施方式所涉及的腔室的纵截面的概略图。
图6是一个实施方式所涉及的基板处理系统的控制器的概略构成图。
图7是一个实施方式所涉及的第一基板处理工序的流程图。
图8是一个实施方式所涉及的第一基板处理工序的过程图。
图9是显示现有的搬送过程中的累计处理时间的图。
图10是显示现有的搬送过程中的累计处理时间的图。
图11是一个实施方式所涉及的基板搬送流程图。
图12是一个实施方式所涉及的第一计数数据的数据表格的例子。
图13是一个实施方式所涉及的第一计数数据与搬送标识的例子。
图14是一个实施方式所涉及的第二计数数据与搬送标识的例子。
符号说明
100···腔室,110···工艺模块,200···基板,201···处理室,202···处理容器,211···载置面,212···基板载置台,232···缓冲空间,234···分散喷头,241···气体导入口,1000···基板处理系统,1100···IO载台,1200···大气搬送室,1220···第一搬送机器人(大气搬送机器人),1300···密闭装载室,1400···真空搬送室,1700···第二搬送机器人(真空搬送机器人)。
具体实施方式
[第一实施方式]
以下,参照附图,对本公开的第一实施方式进行说明。
以下,对本实施方式所涉及的基板处理系统进行说明。
(1)基板处理系统的构成
使用图1至图4,对本公开的一个实施方式所涉及的基板处理系统的概要构成进行说明。图1是显示本实施方式所涉及的基板处理系统的构成例的横截面图。图2是显示本实施方式所涉及的基板处理系统构成例的图1的α-α’的纵截面图。图3是说明图1的机械臂的详细情况的说明图。图4是图1的β-β’的纵截面图,是对向工艺模块(PM)供给的气体供给系统进行说明的说明图。图5是对在PM中设置的腔室进行说明的说明图。
在图1和图2中,本公开所适用的基板处理系统1000是处理基板200的系统,主要由IO载台1100、大气搬送室1200、密闭装载室1300、真空搬送室1400、工艺模块(PM)110(110a至110d)构成。以下对各构成进行具体说明。在图1的说明中,前后左右是以X1方向为右、以X2方向为左、以Y1方向为前、以Y2方向为后。需说明的是,在基板200的表面上形成有半导体设备,基板处理系统1000中进行半导体设备制造的一个工序。这里,半导体设备是指,集成电路、电子元件单体(电阻元件、线圈元件、电容元件、半导体元件)的任意一种或包括多种。此外,也可以是半导体设备的制造过程中所必需的空白膜。
[大气搬送室和IO载台]
在基板处理系统1000之前,设置IO载台(装载口)1100。构成为在IO载台1100上能够搭载多个作为容纳容器的晶圆容器1001。晶圆容器1001用作搬送硅(Si)基板等基板200的载具,构成为在晶圆容器1001内分别以水平姿态容纳多个基板(晶圆)200。在晶圆容器1001内最多容纳25片基板200。另外,在晶圆容器1001内容纳的基板200的片数根据其他基板处理装置中的处理结果、品种而调整,在晶圆容器1001中有时容纳比25片少的24片、23片、22片、21片……的基板200。容纳有这样片数的基板200的晶圆容器1001被载置在IO载台1100上的频率按照25片、24片、23片、22片、21片的顺序减少。
在晶圆容器1001上设置帽1120,其由后述的晶圆容器开启器1210来开关。晶圆容器开启器1210通过开关在IO载台1100上载置的晶圆容器1001的帽1120,使基板存取口开启和封闭,能够对晶圆容器1001存取基板200。晶圆容器1001通过未图示的工序内搬送装置(RGV)供给和排出于IO载台1100。
IO载台1100与大气搬送室1200相邻接。大气搬送室1200在其与IO载台1100不同的面上与后述的密闭装载室1300连结。
在大气搬送室1200内设置作为移送基板200的第一搬送机器人的大气搬送机器人1220。如图2所示,大气搬送机器人1220构成为由设置在大气搬送室1200内的升降机1230来升降,并构成为由线性执行器1240在左右方向上来回移动。
如图2所示,在大气搬送室1200的上部设置供给清洁空气的清洁单元1250。此外,如图1所示,在大气搬送室1200的左侧设置将基板200上所形成的缺口或定向平面对准的装置(以下,称为“预校准仪”)1260。
如图1及图2所示,在大气搬送室1200的筐体1270的前侧,设置用于将基板200搬入搬出大气搬送室1200的基板搬入搬出口1280和晶圆容器开启器1210。在与晶圆容器开启器1210的相反侧,即筐体1270的外侧,设置IO载台(装载口)1100,这样就与晶圆容器开启器1210一起夹着基板搬入搬出口1280。
在大气搬送室1200的筐体1270的后侧,设置用于将基板200搬入搬出密闭装载室1300的基板搬入搬出口1290。基板搬入搬出口1290通过后述的闸阀1330而开放和封闭,使基板200的存取成为可能。
[密闭装载(L/L)室]
密闭装载室1300与大气搬送室1200相邻接。构成密闭装载室1300的筐体1310所具有的面中,在与大气搬送室1200不同的面上,如后所述,配置真空搬送室1400。密闭装载室1300由于要根据大气搬送室1200的压力和真空搬送室1400的压力来改变筐体1310内的压力,因而构成为耐受负压的结构。
在筐体1310的与真空搬送室1400相邻接一侧,设置基板搬入搬出口1340。基板搬入搬出口1340通过闸阀1350而开放和封闭,从而使得基板200的存取成为可能。
进而,在密闭装载室1300内,设置至少具有两个载置基板200的载置面1311的基板载置台1320。这里,将两个载置面设为第一载置面1311a和第二载置面1311b。基板载置面1311之间距离对应于后述的真空搬送机器人1700所具有的机械指之间的距离来设定。
[真空搬送室]
基板处理系统1000具有成为在负压下搬送基板200的搬送空间(即,搬送室)的真空搬送室(搬送模块)1400。构成真空搬送室1400的筐体1410在平面图中形成为五边形,五边形的各边与密闭装载室1300和处理基板200的工艺模块(PM)110a~110d连结。在真空搬送室1400的大体中央部,设置作为在负压下移送(搬送)基板200的第二搬送机器人的真空搬送机器人1700,并以凸缘1430作为基部。此外,在真空搬送室1400中设置作为检测基板200位置的检测部的检测传感器1401。检测传感器1401例如设置在与各PM110的连结部附近,构成为能够检测在真空搬送室1400和PM110之间的基板200的移动。需说明的是,检测传感器1401构成为能够向后述的控制器260发送基板200的位置数据。这里,显示了真空搬送室1400为五边形的例子,但也可以是四边形、六边形等多边形。需说明的是,优选设置偶数台PM。
在筐体1410的侧壁中的与密闭装载室1300相邻接的一侧,设置基板搬入搬出口1420。基板搬入搬出口1420通过闸阀1350而开放和封闭,从而使得基板200的存取成为可能。
在真空搬送室1400内设置的真空搬送机器人1700,如图2所示,构成为由升降机1450及凸缘1430维持真空搬送室1400的气密性的同时能够升降。真空搬送机器人1700的详细构成如后所述。升降机1450构成为能够使真空搬送机器人1700所具有的二个机械臂1800和1900各自独立地升降。
作为筐体1410的顶板,设置用于向筐体1410内供给非活性气体的非活性气体供给孔1460。非活性气体供给孔1460中设置非活性气体供给管1510。在非活性气体供给管1510中自上游开始依次设置非活性气体源1520、质量流量控制器(MFC)1530、阀门1540,构成为能够向筐体1410内以预定流量供给非活性气体。
主要由非活性气体供给管1510、MFC1530、阀门1540构成真空搬送室1400的非活性气体供给部1500。需说明的是,在非活性气体供给部1500中也可以包括非活性气体源1520、气体供给孔1460。
在筐体1410的底壁,设置用于将筐体1410的氛围气排气的排气孔1470。排气孔1470中设置有排气管1610。排气管1610中自上游开始依次设置作为压力控制器的APC(AutoPressure Controller,压力自动调节器)1620、泵1630。
主要由排气管1610、APC1620构成真空搬送室1400中的气体排气部1600。需说明的是,气体排气部中也可以包括泵1630、排气孔1470。
通过非活性气体供给部1500、气体排气部1600的共同协作,控制真空搬送室1400内的氛围气。例如,控制筐体1410内的压力。
如图1所示,在筐体1410的五个侧壁中的没有设置密闭装载室1300之侧,连接有对基板200进行所希望处理的工艺模块(PM)110a,110b,110c,110d。
在PM110a,110b,110c,110d的各自中设置有腔室100。腔室100也称为处理室。具体而言,PM110a中设置有腔室100a、100b。PM110b中设置有腔室100c、100d。PM110c中设置有腔室100e、100f。PM110d中设置有腔室100g、100h。需说明的是,优选在各PM中设置偶数个腔室。
筐体1410的侧壁中在向着各腔室100的壁上,设置有基板搬入搬出口1480。例如,如图2所示,在向着腔室100e的壁上,设置基板搬入搬出口1480e。
在图2中,在将腔室100e置换为腔室100a时,在向着腔室100a的壁上,设置基板搬入搬出口1480a。
同样地,在将腔室100f置换为腔室100b时,在向着腔室100b的壁上,设置基板搬入搬出口1480b。
如图1所示,在每个腔室100上设置闸阀1490。具体而言,在与腔室100a之间设置闸阀1490a,在与腔室100b之间设置闸阀1490b。在与腔室100c之间设置闸阀1490c,在与腔室100d之间设置闸阀1490d。在与腔室100e之间设置闸阀1490e,在与腔室100f之间设置闸阀1490f。在与腔室100g之间设置闸阀1490g,在与腔室100h之间设置闸阀1490h。
通过各闸阀1490而开启和封闭,从而经由基板搬入搬出口1480的基板200的存取成为可能。
[真空搬送机器人]
接着,对于作为在真空搬送室1400中搭载的搬送部(搬送机构)的真空搬送机器人1700,使用图3进行说明。图3是放大图1的真空搬送机器人1700的图。
真空搬送机器人1700具有两个机械臂1800和1900。机械臂1800具有叉型部(Forkportion)1830,其在前端设置有两个末端效应器1810和1820。叉型部1830的基底经由轴1850与中间部1840连接。将两个末端效应器与一个叉型部的组合称为保持部。真空搬送机器人1700至少具有一个保持部。一个保持部构成为能够保持两个基板200。
在末端效应器1810和末端效应器1820上载置从各个PM110中搬出的基板200。在图2中,显示载置有从PM110c搬出的基板200的例子。
中间部1840中,在与叉型部1830不同的部位,经由轴1870与底端部1860连接。底端部1860经由轴1880配置于凸缘1430。
机械臂1900具有叉型部1930,其在前端设置有两个末端效应器1910和1920。叉型部1930的基底经由轴1950与中间部1940连接。
在末端效应器1910和末端效应器1920上载置有从密闭装载室1300搬出的基板200。
中间部1940中,在与叉型部1930不同的部位,经由轴1970与底端部1960连接。底端部1970经由轴1980配置于凸缘1430。
末端效应器1810、末端效应器1820配置在比末端效应器1910、末端效应器1920更高的位置。
真空搬送机器人1700能够以轴为中心旋转,并且机械臂能够延伸。
需说明的是,真空搬送机器人1700构成为,将被搬送到载置面1311a上的基板搬送至腔室(ch1)100a、腔室(ch3)100c、腔室(ch5)100e、腔室(ch7)100g,将被搬送到载置面1311b上的基板搬送至腔室(ch2)100b、腔室(ch4)100d、腔室(ch6)100f、腔室(ch8)100h。
[工艺模块PM]
接着,对各PM(处理单元)110内的PM110a,以图1、图2、图4为例进行说明。图4是对PM110a、与PM110a连接的气体供给部、以及与PM110a连接的气体排气部的关联进行说明的说明图。
这里,以PM110a为例,但其他的PM110b、PM110c、PM110d中也是同样的结构,在此省略其说明。
如图4记载地那样,PM110a中设置有对基板200进行处理的两个腔室。这里,设置腔室100a和腔室100b。在腔室100a和腔室100b之间设置隔壁2040a,构成为不将各自腔室内的氛围气进行混合。
如图2记载地那样,在腔室100e与真空搬送室1400相邻接的壁上设置基板搬入搬出口2060e,同样地,在腔室100a与真空搬送室1400相邻接的壁上设置基板搬入搬出口2060a。
在各腔室100内设置支撑基板200的基板支撑部210。
在PM110a中,腔室100a和腔室100b分别与供给处理气体的气体供给部连接。气体供给部由第一气体供给部(处理气体供给部)、第二气体供给部(反应气体供给部)、第三气体供给部(第一吹扫气体供给部)、第四气体供给部(第二吹扫气体供给部)等构成。对各气体供给部的构成进行说明。
[第一气体供给部]
如图4所示,在从处理气体源113到PM110a之间,分别设置缓冲罐114、质量流量控制器(MFC)115a,115b、和处理室侧阀门116(116a,116b)。这些构成由处理气体共通管112、第一气体供给管(处理气体供给管)111a,111b来连接。构成为,由处理气体源113供给的处理气体从处理气体共通管112、第一气体供给管(处理气体供给管)111a,111b经由在图5中所示的共通气体供给管300,能够向基板处理装置100供给。由这些MFC115a,115b、处理室侧阀门116(116a,116b)、处理气体共通管112、第一气体供给管(处理气体供给管)111a,111b构成第一气体供给部。需说明的是,还可以构成为,处理气体源113和缓冲罐114的任一方或双方都包含在第一气体供给部内。此外,还可以构成为对应于基板处理系统中设置的PM的数量,增减相同的构成。
[第二气体供给部]
如图4所示,在从反应气体源123到PM110a之间,设置作为活化部的远程等离子体单元(RPU)124、MFC125a,125b、处理室侧阀门126(126a,126b)。这些各构成由反应气体共通管122和第二气体供给管(反应气体供给管)121a,121b等来连接。构成为,由反应气体源123供给的反应气体从反应气体共通管122、第二气体供给管121a,121b经由在图5中所示的共通气体供给管300,能够向基板处理装置100供给。由这些RPU124、MFC125a,125b、处理室侧阀门126(126a,126b)、反应气体共通管122、反应气体供给管121a,121b等构成第二气体供给部。
另外,也可以构成为,在第二气体供给部内包含反应气体供给源123。此外,还可以构成为对应于基板处理系统中设置的PM的数量,增减相同的构成。
此外,还可以构成为,在处理室侧阀门126(阀门126a,126b)之前,设置排放管道171a,171b和排放阀170(170a,170b)来将反应气体排气。通过设置排放管道,使得失活后的反应气体或反应性降低的反应气体不通过处理室而被排出。
[第三气体供给部(第一吹扫气体供给部)]
如图4所示,在从第一吹扫气体(非活性气体)源133到PM110a之间,设置MFC135a,135b、处理室侧阀门136(136a,136b),阀门176a,176b,186a,186b等。这些各构成由吹扫气体(非活性气体)共通管132、吹扫气体(非活性气体)供给管131a,131b等来连接。由第一吹扫气体源133供给的吹扫气体(非活性气体)从吹扫气体共通管132、吹扫气体供给管131a,131b经由在图5中所示的共通气体供给管300,能够向基板处理装置100供给。由这些MFC135a,135b、处理室侧阀门136(136a,136b)、非活性气体共通管132、非活性气体供给管131a,131b等构成第三气体供给部。另外,也可以构成为,第三气体供给部(第一吹扫气体供给部)中包含吹扫气体(非活性气体)源133。此外,还可以构成为对应于基板处理系统中设置的PM的数量,增减相同的构成。
[第四气体供给部(第二吹扫气体供给部)]
如图4所示,第四气体供给部构成为,分别经由处理气体供给管111a,111b、反应气体供给管121a,121b能够向各处理室110a,110b供给非活性气体。在从第二吹扫气体(非活性气体)源143至各供给管之间,设置第二吹扫气体供给管141a,141b,151a,151b、MFC145a,145b,155a,155b、阀门146a,146b,156a,156b等。由这些构成来构成第四气体供给部(第二吹扫气体供给部)。这里,分别构成了第三气体供给部和第四气体供给部的气体源,但也可以汇集在一起只设置一个。
在各气体供给部中设置的各MFC构成为能够与后述的控制器260进行流量值(流量数据)的信息发送和接收。此外,各阀门构成为能够与后述的控制器260进行阀门开度值(阀门开度数据)的信息发送和接收。
此外,PM110a与分别对腔室100a内的氛围气和腔室100b内的氛围气进行排气的气体排气部连接。如图4所示,在排气泵223a与腔室100a,100b之间,设置APC(Auto PressureController,压力自动调节器)222a、共通气体排气管225a、处理室排气管224a,224b等。由这些APC222a、共通供给气体排气管225a、处理室排气管224a,224b构成气体排气部。如此,构成为腔室100a内的氛围气与腔室100b内的氛围气由一台排气泵来排气。另外,还可以设置能够调整处理室排气管224a,224b各自的排气阻力(コンダクタンス)的阻力调整部226a,226b,这些也可以作为气体排气部的一个构成。此外,排气泵223a也可以作为气体排气部的一个构成。此外,APC222a、阻力调整部226a,226b构成为能够与后述的控制器260进行阀门的开度数据、压力值(压力数据)的信息发送和接收。
接着,对本实施方式所涉及的腔室100进行说明。如图5所示,腔室100构成为单晶圆式基板处理装置。在腔室中进行半导体设备制造的一个工序。需说明的是,腔室100a,100b,100c,100d,100e,100f,100g,100h按照与如图5所示的构成同样的方式构成。这里,以腔室100a为例进行说明。
如图5所示,腔室100具有处理容器202。处理容器202构成为例如横截面为圆形的扁平的密闭容器。此外,处理容器202由例如铝(Al)、不锈钢(SUS)等金属材料构成,或者,由石英构成。在处理容器202内形成对作为基板的硅晶圆等基板200进行处理的处理空间(处理室)201、搬送空间203。处理容器202由上部容器202a和下部容器202b构成。在上部容器202a和下部容器202b之间设置隔板204。将上部容器202a所包围的空间,即比隔板204更上方的空间称为处理空间(也称为处理室)201,将下部容器202b所包围的空间,即比隔板更下方的空间称为搬送空间。
在下部容器202b的侧面设置与闸阀1490邻接的基板搬入搬出口1480,经由基板搬入搬出口1480将基板200在与图1中所示的真空搬送室1400之间移动。在下部容器202b的底部设置多个提升销207。进而,下部容器202b接地。
在处理室201内,设置支撑基板200的基板支撑部210。基板支撑部210具有载置基板200的载置面211和在表面保持载置面211的基板载置台212。需说明的是,在载置面211的外周侧,设置不载置基板200的外周面215。外周面215能够使得向基板200的外周侧的气体供给量接近向基板200的中心侧的气体供给量。在基板支撑部210中还可以设置作为加热部的加热器213。通过设置加热部,能够加热基板,提高在基板上形成的膜的品质。此外,加热器213构成为与温度控制部400连接从而能够进行温度控制。温度调整部400构成为经由信号线能够向后述的控制器260进行温度值(温度数据)的发送和接收。在基板载置台212中,在与提升销207相对应的位置分别设置提升销207所贯通的贯通孔214。另外,在基板载置台212中还可以设置向基板200、处理室201施加偏压的偏压电极256。偏压电极256构成为与偏压调整部257连接,能够通过偏压调整部257来调整偏压。偏压调整部257构成为能够经由信号线向后述的控制器260进行偏压值(偏压数据)的发送和接收。此外,在基板载置台212中还可以设置测定在基板200上形成的膜的膜厚的膜厚监视器401。膜厚监视器401经由信号线与膜厚计402连接。膜厚计402构成为能够经由信号线将生成的膜厚值(膜厚数据)向后述的控制器260发送和接收。
基板载置台212由主轴217支撑。主轴217贯通处理容器202的底部,进一步在处理容器202的外部与升降机构218连接。通过使升降机构218工作并使主轴217和支撑台212升降,从而能够使在基板载置面211上载置的基板200升降。需说明的是,主轴217下端部的周围由波纹管219覆盖,保持处理室201内的气密。升降机构218构成为能够将基板载置台212的高度数据向后述的控制器260发送和接收。
在搬送基板200时,基板载置台212下降至基板支撑台,使得基板载置面211到达基板搬入搬出口1480的位置(晶圆搬送位置),在处理基板200时,如图5所示,基板载置台212上升至基板200到达处理室201内的处理位置(晶圆处理位置)。
具体而言,在将基板载置台212下降至晶圆搬送位置时,提升销207的上端部从基板载置面211的上表面突出,从而使得提升销207从下方支撑基板200。此外,在将基板载置台212上升至晶圆处理位置时,提升销207没入基板载置面211的上表面,从而由基板载置面211从下方支撑基板200。需说明的是,提升销207由于与基板200直接接触,希望由例如石英、氧化铝等材质形成。另外,还可以构成为在提升销207中设置升降机构使得基板载置台212与提升销207相对移动。
[排气系统]
在处理室201(上部容器202a)的内壁设置作为对处理室201的氛围气进行排气的第一排气部的排气口221。排气口221与处理室排气管224连接,与阀门227依次串联连接。主要由排气口221、处理室排气管224构成第一排气部(排气管线)220。另外,也可以构成为第一排气部中包含阀门227。需说明的是,阀门227还可以由作为压力调整器的APC构成。阀门227构成为能够与后述的控制器260进行阀开度数据的发送和接收。
[气体导入口]
在上部容器202a的侧壁设置用于向处理室201内供给各种气体的气体导入口241。气体导入口241与共通气体供给管300连接。在气体导入口241的下部设置作为气体分散部的分散喷头234。
[气体分散部]
分散喷头234由缓冲室(空间)232、具有多个孔的分散板234a构成。分散喷头234设置于气体导入口241与处理室201之间。由气体导入口241导入的气体被供给至分散喷头234的缓冲空间232。分散喷头234例如由石英、氧化铝、不锈钢、铝等材料构成。
还可以是将分散喷头234的盖231作为电极,由有导电性的金属形成,作为将在缓冲空间232和处理室201内的一方或双方中存在的气体进行激发的活化部(激发部)。这时,在盖231与上部容器202a之间设置绝缘块233,将盖231与上部容器202a之间绝缘。作为活化部的电极(盖231)还可以构成为与整合器251和高频电源252连接,能够供给电磁波(高频电力、微波)。需说明的是,高频电源252构成为能够与后述的控制器260进行供给电力值(供给电力数据)、反射电力值(反射电力数据)等的发送和接收。
在缓冲空间232中还可以设置用于形成所供给的气体的流动的气体导轨235。气体导轨235是以孔231a为中心向着基板200的径方向直径增大的圆锥形状。
[供给系统]
连接于分散喷头234的盖231的气体导入孔241与上述的各气体供给部连接。由各气体供给部供给处理气体、反应气体、吹扫气体。
[控制部]
如图5所示,基板处理系统1000具有控制基板处理系统1000的各部的动作的控制器260。控制器260构成为控制腔室100所具有的构成的动作。
在图6中概略地示出控制器260。作为控制部(控制单元)的控制器260构成为具有CPU(Central Processing Unit,中央处理器)260a、RAM(Random Access Memory,随机存取存储器)260b、存储装置260c、I/O接口260d的计算机。构成为RAM260b、存储装置260c、I/O接口260d经由内部总线260e能够与CPU260a进行数据交换。控制器260构成为能够与例如构成为触摸面板等的输入输出装置261、外部存储装置262连接。
存储装置260c例如由闪存、HDD(Hard Disk Drive,硬盘驱动器)等构成。在存储装置260c内可读取地储存着控制基板处理装置的动作的控制程序、记载有后述的基板处理的过程、条件等的制程配方等。需说明的是,制程配方将后述的基板处理工序中的各过程进行组合以使得由控制器260来执行并得到预定的结果,作为程序来发挥功能。以下,将该程序配方、控制程序等简单地总称为程序。需说明的是,本说明书中在使用“程序”的术语时,有时仅包括单独程序配方,有时仅包括单独控制程序,有时包括其二者。另外,RAM260b构成为将由CPU260a读出的程序、数据等临时保存的存储区域(工作区域)
I/O接口260d与闸阀1330,1350,1490、升降机构218、加热器213、压力调整器222、真空泵223、整合器251、高频电源252等连接。此外,也可以与真空搬送机器人1700、大气搬送机器人1220、密闭装载室1300、MFC115(115a,115b),125(125a,125b),135(135a,135b),145(145a,145b),155(155a,155b),165(165a,165b),1530、阀门227(227a,227b)、处理室侧阀门116(116a,116b),126(126a,126b,),136(136a,136b),176(176a,176b),186(186a,186b)、罐侧阀门160、排放阀170(170a,170b)、远程等离子体单元(RPU)124等连接。本公开中的“连接”包括各部由物理的电缆来连接的意思,也包括各部的信号(电子数据)能够直接或间接地进行信息发送和接收的意思。例如,在各部之间还可以设置信号中继的设备(机材)、信号转换或计算的设备。
CPU260a构成为在由存储装置260c读出控制程序并执行的同时,根据由输入输出装置261的操作指令的输入等从存储装置260c读出制程配方。而且,CPU260a构成为按照所读出的制程配方的内容,控制闸阀1330,1350,1490(1490a,1490b,1490c,1490d,1490e,1490f,1490g,1490h)的开关动作、升降机构218的升降动作、向加热器213的电力供给动作、压力调整器222(222a),238的压力调整动作、真空泵223的开关控制、远程等离子体单元124的气体活化动作、MFC115(115a,115b),125(125a,125b),135(135a,135b)的流量调整动作、阀门227(227a,227b)、处理室侧阀门116(116a,116b),126(126a,126b,126c,126d),136(136a,136b),176(176a,176b),186(186a,186b)、罐侧阀门160、排放阀170(170a,170b)的气体的开关控制、整合器251的电力整合动作、高频电源252的开关控制等。
需说明的是,控制器260不限于构成为专用的计算机的情形,也可以构成为通用的计算机。例如,通过准备存储有上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘、CD、DVD等光盘、MO等光磁盘、USB存储器、存储卡等半导体存储器)262,使用该外部存储装置262在通用计算机中安装程序等,能够构成本实施方式所涉及的控制器260。需说明的是,向计算机提供给程序的方式不限于经由外部存储装置262来供给的情形。例如,还可以通过网络263(互联网、专线)等通信方式,不经由外部存储装置262来提供程序。需说明的是,存储装置260c、外部存储装置262构成为能够由计算机读取的记录介质。以下,将这些总称,简单地记为记录介质。需说明的是,本说明书中,在使用“记录介质”这样的术语时,有时仅包括单独的存储装置260c、有时仅包括单独的外部存储装置262,或者有时包括其二者。
(2)第一基板处理工序
接着,作为使用上述基板处理装置来制造半导体装置(半导体设备)的工序的一个工序,对于在基板上成膜作为绝缘膜的例如作为含硅膜的硅氧化(SiO)膜的过程例,参照图7、8来进行说明。以下的说明中,由控制器260对构成基板处理装置的各部的动作进行控制。
本说明书中在使用“基板”这样的术语时,与使用“晶圆”这样的术语的情形相同,这种情况下,在上述说明中,可以考虑将“基板”置换为“晶圆”。
以下,对第一基板处理工序进行说明。
[基板搬入工序S201]
在进行第一基板处理工序时,首先,将基板200搬入处理室201。具体而言,由升降机构218使基板支撑部210下降,成为提升销207从贯通孔214向基板支撑部210的上面侧突出的状态。此外,将处理室201内调压至预定压力后,开启闸阀1490,在提升销207上载置基板200。在将基板200载置于提升销207上后,由升降机构218将基板支撑部210上升至预定位置,从而使得基板200从提升销207转为载置于基板支撑部210。
[减压和升温工序S202]
接着经由处理室排气管224对处理室201内进行排气,使得处理室201内达到预定压力(真空度)。这时,基于压力传感器测定的压力值,对作为压力调整器222(222a)的APC的阀开度进行反馈控制。此外,基于温度传感器(未图示)检测的温度值,对加热器213的通电量进行反馈控制,使得处理室201内达到预定温度。具体而言,由加热器213对基板支撑部210进行预加热,由加热后的基板支撑部210将基板200加热至预定温度。需说明的是,还可以放置一定时间来使得基板200或基板支撑部210的温度不再变化。这期间,如果在处理室201内有残留的水分或者来自部件的脱气等,还可以通过真空排气、通过供给N2气体来进行吹扫而除去。至此,完成了成膜工艺前的准备。需说明的是,在使处理室201内排气至预定压力时,还可以进行真空排气直至临时地达到能够到达的真空度。
[成膜工序S210]
接着,对成膜工序S210进行详细说明。成膜工序S210以在基板200上成膜SiO膜的处理为例,使用图7、8来进行说明。
在将基板200载置于基板支撑部210后,进行图7、8所示的S203~S207的步骤。
[第一气体供给工序S203]
在第一气体供给工序S203中,从第一气体供给部向处理室201内供给作为第一气体(原料气体)的氨基硅烷系气体。作为氨基硅烷系气体,例如,有双二乙基氨基硅烷(H2Si(NEt2)2、Bis(diethylamino)silane,BDEAS)气体。具体而言,打开气体阀门160,从气体源向腔室100供给氨基硅烷系气体。这时,打开处理室侧阀门116a,由MFC115a调整至预定流量。流量调整后的氨基硅烷系气体经过缓冲空间232由分散喷头234的孔供给至减压状态的处理室201内。此外,控制为由排气系统持续进行处理室201内的排气,使得处理室201内的压力达到预定压力范围(第一压力)。这时,作为向基板200供给氨基硅烷系气体的氨基硅烷系气体以预定压力(第一压力:例如100Pa以上20000Pa以下)供给至处理室201内。如此就向基板200供给氨基硅烷系气体。通过供给氨基硅烷系气体,能够在基板200上形成含硅层。
这时的加热器213的温度设定为200~750℃,优选为300~600℃,更优选为300~550℃的范围内的一定温度,维持该温度,至少直至成膜工序S210结束。
[第一吹扫工序S204]
在基板200上形成含硅层后,关闭第一气体供给管111a,111b的气体阀门116a,116b,停止氨基硅烷系气体的供给。停止原料气体后,通过将处理室201中存在的原料气体、缓冲空间232中存在的原料气体由处理室排气管224排气,进行第一吹扫工序S204。
此外,在吹扫工序中,除了简单地将气体进行排气(抽真空)来将气体排出以外,还可以构成为供给非活性气体,将残留气体挤出来进行排出处理。具体而言,打开阀门136a,136b,供给非活性气体。非活性气体例如是氮气(N2)。此外,还可以将抽真空与非活性气体的供给组合来进行。此外,还可以交替进行抽真空和非活性气体的供给。
此外,在第一吹扫工序中,持续真空泵223的动作,对在处理室201内存在的气体由真空泵223进行排气。
经过预定时间后,关闭阀门136a,136b,停止非活性气体的供给。
作为由各非活性气体供给系统供给的吹扫气体的N2气体的供给流量,分别设为例如100~20000sccm范围内的流量。作为吹扫气体,除了N2气体之外,还可以使用Ar、He、Ne、Xe等惰性气体。
[第二处理气体供给工序S205]
在第一气体吹扫工序后,打开阀门126,经由气体导入孔241、缓冲空间232、分散喷头234将作为第二气体(反应气体)的含氧气体供给至处理室201内。含氧气体例如有氧气(O2)、臭氧气体(O3)、水(H2O)、一氧化二氮气体(N2O)等。这里显示使用O2气体的例子。由于经由缓冲空间232、分散喷头234来供给至处理室201,能够将气体均匀地供给到基板上。因此,能够形成均匀的膜厚。需说明的是,在供给第二气体时,还可以构成为,经由作为活化部(激发部)的远程等离子体单元(RPU)124来将活化后的第二气体供给至处理室201内。
这时,调整MFC125使得O2气体的流量达到预定流量。需说明的是,O2气体的供给流量例如为100sccm以上10000sccm以下。此外,通过适当地调整压力调整器222a,能够使得处理室201内的压力达到预定压力范围内。此外,在O2气体流过RPU124内时,还可以控制为使RPU124处于ON状态(输入电源的状态),来将O2气体活化(激发)。
如果将O2气体供给至在基板200上形成的含硅层,则含硅层会被改性。例如,形成含有氧元素和硅元素的改性层。需说明的是,通过设置RPU124并使活化后的O2气体供给至基板200上,能够形成更多的改性层。
例如,对应于处理室201内的压力、O2气体的流量、基板200的温度、RPU124的电力供给情况,以预定厚度、预定分布、预定的氧成分等对含硅层的的侵入深度来形成改性层。
经过预定时间后,关闭阀门126,停止O2气体的供给。
[第二吹扫工序S206]
在停止O2气体的供给后,通过使在处理室201中存在的O2气体、在缓冲空间232中存在的O2气体由第一排气部排气,进行第二吹扫工序S206。第二吹扫工序S206中进行与上述的第一吹扫工序S204同样的工序。
[判定工序S207]
第二吹扫工序S206结束后,控制器260对于在上述成膜工序S210中,是否以预定循环数C执行了S203~S206(C为自然数)来进行判定。即,判定是否在基板200上形成了所希望的厚度的膜。以上述的步骤S203~S206为1个循环,通过至少进行一次这样的循环(步骤S207),能够在基板200上成膜预定膜厚的含硅和氧的绝缘膜,即SiO膜。上述的循环优选反复多次。由此,能够在基板200上形成预定膜厚的SiO膜。
在没有实施预定次数时(判断为No时),则反复S203~S206的循环。在实施了预定次数时(判断为Y时),则结束成膜工序S201,执行搬送压力调整工序S208和基板搬出工序S209。
[搬送压力调整工序S208]
在搬送压力调整工序S208中,经由处理室排气管224对处理室201内、搬送空间203内进行排气,使得处理室201内、搬送空间203达到预定压力(真空度)。这时的处理室201内、搬送空间203内的压力调整为真空搬送室1400内的压力以上。另外,还可以构成为,在该搬送压力调整工序S208期间、之前、之后,由提升销207来保持基板200,使得基板200的温度冷却至预定温度。
[基板搬出工序S209]
通过搬送压力调整工序S208而使处理室201内达到预定压力后,打开闸阀1490,将基板200从搬送空间203搬出至真空搬送室1400。
经过这样的工序,进行对基板200的处理。
本发明人发现:在具有多个如图1所示的腔室100的基板处理装置中,在进行多种成膜工序时,会产生以下[A]~[D]的至少一种课题。
[A]会有在多个腔室100各自中执行的成膜工序S210的处理所花费的累计时间、腔室100内堆积的膜的累计膜厚不同的情形。这种情况下,腔室100的维护时机会随腔室100而不同。由于维护时机不同,会产生基板处理装置的生产率下降的课题。
为了解决前述的课题,已有仅停止维护中的腔室100的处理,由未维护中的腔室100来进行处理的方法,但需要每一次都变更基板200的搬送路径,不能避免基板处理装置的生产率的下降。
对于累计处理时间不同的例子,使用图9、图10来进行说明。图9和图10中,横轴为PM110a~PM110d(对应图中的PM1、2、3、4)各自所具有的腔室100a~100h,纵轴为处理时间(任意单位)。白色部分表示奇数序号的晶圆容器1001的处理,相邻的阴影部分,表示偶数序号的晶圆容器1001的处理。这里,是对使用8个具有25片基板200的晶圆容器1001来进行处理时的处理时间、各个腔室100的累计处理时间进行计数并图表化的图。图9显示在各晶圆容器1001内容纳的基板200中,向PM110a(PM1)搬送最初搬送的第1片和第2片基板200的情形。图10显示在各晶圆容器1001内容纳的基板200中,将最初搬送的第1片和第2片基板200向先前的晶圆容器1001的最后基板200被处理后的PM110的下一个PM110搬送的情形。具体而言,显示第一号晶圆容器1001内容纳的基板200中,最后的基板200在PM110a(PM1)的腔室100a中进行了处理时,将下一个晶圆容器1001内容纳的基板200中的最初的第1片和第2片基板200从PM110b(PM2)的腔室100c和腔室100d搬送的例子。换而言之,图10的例子是从处理数最少的腔室100(PM)起依次搬送基板进行处理的例子。
如图9、图10所示,各腔室的各自中,在以处理时间为1(任意单位)来处理基板200时,累计时间会变得各不相同,维护时机也就不同。以下说明其理由。
如图9所示,在处理200片的基板200时,在腔室100a与其他腔室中,产生8(任意单位)的时间差。在图10的情形中,腔室100a,100c,100e,100g与腔室100b,100d,100f,100h之间产生2(任意单位)的时间差。
需说明的是,该累计时间由于并不是单纯地与各腔室100的使用次数(各腔室100中从处理次数)成比例,因而难以基于处理次数来预估维护时机。例如,半导体装置的制造方式为少量多品种时,每个处理批次、每个晶圆容器1001中的处理时间会各不相同,即使处理次数多,也有可能出现累计的处理时间少的腔室100。此外,如图10所示,在从处理数最少的腔室100(PM)开始依次搬送基板时,特定的腔室100的使用次数会发生偏差。例如,使用次数最多的腔室的累计处理时间会持续多的状态,会产生特定的腔室100性能下降的课题。
[B]在PM内设置多个腔室100的情况下,由于多个腔室的排气系统是共通的,因此在一个腔室100中处理基板200期间,其他腔室100中就不能进行维护。这是因为,如果在其他腔室100中进行维护,则在排气管内会产生副生成物,副生成物有可能流入到该一个腔室100中。此外,还有可能对该一个腔室100内的压力产生影响。如此,在对PM内的一个腔室100进行维护时,其他腔室100中的处理受到限制。因此,具有维护中的腔室100的PM中,不能进行基板200的处理,会产生基板处理装置的生产率下降的课题。例如,在图9的例子中,在PM1中,在腔室100a的维护中,腔室100b就不能使用。在图10的例子中,全部的PM不能使用。
[C]在PM内设置多个腔室100的情况下,有时向PM内的一个腔室100搬送基板200而不向PM内的其他腔室100搬送基板200,并开始PM中的处理。这种情况下,在被搬送了基板200的腔室100中,进行通常的基板处理。将这样的情况称为正常沉积(deposition)。另一方面,未被搬送基板200的其他腔室100中,会在基板载置台212的载置面211上形成膜。将这样的处理称为空白沉积(deposition)。这样的空白沉积的累计时间增多时,进行了正常沉积的腔室100内的氛围气与进行了空白沉积的腔室100内的氛围气会不同。由此,会产生在每个腔室100中,在基板200上形成的膜的特性会变化的课题。此外,会产生由于空白沉积的累计时间增多,粒子的产生数、几率与进行正常沉积的腔室100相比会增多的课题。此外,还会产生维护时机不同的课题。进而,由于在载置面211上形成膜,每个腔室100的维护内容会改变,因而会产生人工消耗。例如,需要延长用于除去在载置面211上形成的膜的清理时间。在清理时间增长时,会产生对分散喷头234、上部容器202a的内壁、隔板204的表面、基板载置台212的外周面215等发生过度蚀刻,大幅改变处理室201的氛围气的课题。
[D]在最近的半导体装置中,3D-NAND型的层叠结构成为主流。这样的结构中,相同种类的膜要多次成膜。这样的结构中,要求多个膜各自的膜特性落入预定的膜特性范围内,相同种类的膜会要求由相同的基板处理装置来成膜。但是,每次成膜时的腔室100内的环境会变化,产生多个膜的各自膜特性不能落入预定范围内的课题。
这里,所谓膜特性是指,例如膜厚、膜质、结晶性、介电率、折射率、膜密度、蚀刻速率等。这些腔室100内例如产生如下的环境变化。
(1)由于在腔室100内的构件表面形成的膜的累计膜厚,会使腔室100内的基础压力发生变化。
(2)由于向腔室100的气体供给时间的累计时间,会使各气体供给系统的气体管内的环境发生变化。
(3)由于累计膜厚、累计处理时间,在各腔室100内所产生的粒子量会增多。
发明人发现:针对这样的课题,通过执行以下所述的基板搬送工序的补正工序,可以解决上述课题的至少任一项。对于包括补正工序的基板搬送流程,使用图11、图12、图13和图14来进行说明。
<基板搬送工序>
首先,使用图11对基板搬送工序进行说明。图11是一个实施方式所涉及的包括基板搬送工序的补正工序的基板搬送流程图。
[第一基板搬送工序S300]
从作为容纳容器的晶圆容器1001按照预定顺序将基板200搬送至多个腔室100,在各个腔室100中依次进行处理。这里所说的预定顺序,是指按照例如腔室100a、腔室100b、腔室100c、腔室100d、腔室100e、腔室100f、腔室100g、腔室100h、腔室100i、腔室100a、腔室100b……的顺序进行搬送。搬送的开始可以不从腔室100a开始,从腔室100c开始搬送时的预定顺序是指腔室100c、腔室100d、腔室100e、腔室100f、腔室100g、腔室100h、腔室100a、腔室100b、腔室100c、腔室100d……。反复进行从晶圆容器1001向各腔室100的基板200的搬送,直至晶圆容器1001内不再有处理对象的基板200。第一基板搬送工序S300结束后,进行基板搬送工序的补正工序S301。
[补正工序S301]
补正工序S301中,进行第一计数数据生成工序S302、搬送标识数据赋予工序S303、第一判定工序S304、搬送开始位置变更工序S305。以下对各工序进行说明。
[第一计数数据生成工序S302]
首先,在作为控制器260的计算部的CPU260a中,生成对应于多个处理室的各自的第一计数数据,并将第一计数数据存储于作为存储部的存储装置260c中。这里,第一计数数据例如,包括腔室100的累计处理时间、累积膜厚、处理气体的累计供给时间、反应气体的累计供给时间、工艺压力的累计维持时间、基板载置台212维持在工艺温度的累计时间、处理室201内生成的等离子体的累计放电时间等。第一计数数据从上述中选择至少一种。也可以选择2种以上来生成第一计数数据。
图12示出记录了第一计数数据的数据表格的例子。图12中所示的数据表格中,将对应于各腔室100的第一计数数据输入到各数据输入框中。具体而言,将对应于各腔室100的累计处理时间数据记录于A1、B1、C1、……H1。将对应于各腔室100的维持温度的累计时间数据记录于A2、B2、C2、……H2。将对应于各腔室100的处理气体的累计供给量数据记录于A3、B3、C3、……H3。将对应于各腔室100的处理压力的累计维持时间数据记录于A4、B4、C3、……H4。将对应于各腔室100的累积膜厚数据记录于A5、B5、C5、……H5。将对应于各腔室100的高频供给电力量数据记录于A6、B6、C6、……H6。这里,作为第一计数数据,显示了累计处理时间、维持温度的累计时间、处理气体的累计供给量、处理压力的累计维持时间、累积膜厚、高频电力量,但可以构成为任一种,还可以在图12所示的数据中追加上述数据,也可以构成为由上述数据的任一种替代图12所示的数据的一部分。需说明的是,累积膜厚可以通过在处理室201内设置膜厚计402来测定,也可以不设置膜厚计402而基于处理气体和反应气体的任一者或二者的累计供给时间、压力、所处理的基板200的片数等的任一者来算出。此外,在成膜配方中输入膜厚数据时,还可以基于成膜配方的膜厚数据和成膜配方的执行次数来算出累积膜厚。
[搬送标识数据赋予工序S303]
接着,对于对应于多个处理室的第一计数数据的各自,进行比较计算,生成搬送标识数据。具体而言,对对应于多个处理室的第一计数数据的各自进行比较,对第一计数数据中最大的第一计数数据所对应的腔室100赋予搬送标识数据。搬送标识数据的数据表格例示于图13。图13中显示使用累计处理时间作为第一计数数据,进行比较计算,将其结果记录于搬送标识数据的输入框Xa、Xb、Xc、……Xh中的状态。图13中,在累计处理时间最多的腔室100a的输入框Xa中存储作为标识的“1”。在其他输入框中存在作为无标识状态的“0”。需说明的是,各输入框中,在已经输入标识数据的情况下,基于其计算结果,变更各输入框内的数据。
[第一判定工序S304]
接着,进行第一判定工序S304。在第一判定工序中,判定是否变更了对应于各腔室100的搬送标识。在判定的结果为变更了搬送标识的情况下,判定为Y,进行之后的搬送开始位置变更工序S305。在未变更搬送标识的情况下,判定为N,不进行搬送开始位置变更工序S305,进行之后的第二基板搬送工序S306。
[搬送开始位置变更工序S305]
接着,对在第一判定工序S304中被判断为Y后执行的搬送开始位置变更工序S305进行说明。在判定为Y的情况下,更新基板搬送配方的设定,使得从赋予了搬送标识的腔室100的下一个腔室100起开始搬送。在图13所示的例子中,由于对腔室100a设定搬送标识,因而更新基板搬送配方的设定,以使得在随后的基板搬送工序中,将最初搬送的基板200向腔室100b搬送。这样就构成为,从多个第一计数数据中的最大计数数所对应的腔室100的下一个腔室起搬送基板。根据搬送标识,有时会有在基板搬送配方更新之前和之后,最初搬送的基板200的搬送目的地为相同的情形。
[第二基板搬送工序S306]
接着,进行第二基板搬送工序S306。第二基板搬送工序S306中,基于基板搬送配方,以与上述第一基板搬送工序S300同样的过程,依次向腔室100搬送基板,直至晶圆容器1001内不再有处理对象的基板200。
[第二判定工序S307]
接着,进行第二判定工序S307。第二判定工序S307中,进行在IO载台1100上是否载置下一个要处理的晶圆容器1001的判定。在具有下一个要处理的晶圆容器1001的情形下,判断为Y,进行补正工序S301,反复进行补正工序S301和第二基板搬送工序S306,直至不再有需要处理的晶圆容器1001。在不再有下一个要处理的晶圆容器1001时,判定为N,结束基板处理工序。
如此这般,进行本公开的基板处理工序。
以上,对本公开的一个实施方式进行了具体的说明,但本公开不限于上述实施方式,在不脱离其宗旨的范围内科进行各种变更。
例如,上述中,基于第一计数数据进行腔室100单位中的基板搬送顺序的补正,也可以通过以下的构成,构成为以PM单位来补正基板搬送顺序。例如,如图14所示,基于对应于每一腔室的第一计数数据,还可以生成对应于各PM的第二计数数据。这里,第二计数数据是将对应于PM所具有的腔室100的第一计数数据进行累加后的数据。具体而言,对应于PM1的第二计数数据AB1是将第一计数数据A1和B1加起来的数据。对应于其他PM的第二计数数据也同样地算出。这里,基于两个第一计数数据来算出第二计数数据,在PM具有3个以上腔室100时,还可以基于3个以上的第一计数数据来算出第二计数数据。此外,这里,示例了单纯累加的例子,还可以通过任意的计算处理来算出第二计数数据。
此外,有时晶圆容器1001内容纳的作为处理对象的基板200的片数不能被基板处理系统1000所具有的腔室数整除。这种情况下,在对晶圆容器1001中容纳的作为处理对象的基板200中的最后的基板200进行处理的PM中,会产生搬送基板200的腔室100和不搬送基板200的腔室100。在这种情况下,还可以不生成对应于没有搬送基板200的腔室100的第一计数数据,记为零,从而算出第二计数数据。例如,为图14的各输入框的下段所示的状态。在图14的下段,表示没有对腔室100b搬送基板200的情形。这种情况下,将累计处理时间记为0,则第二计数数据中的对应于腔室100a的累计处理时间就成为第二计数数据。在将该第二计数数据与其他第二计数数据进行比较计算时,由于对应于PM1的第二计数数据成为最小,因此不会对PM1赋予搬送标识,而对PM2赋予搬送标识。如此这样来计算也是可以的。
此外,上述中,记载了交替供给原料气体和反应气体来成膜的方法,只要是原料气体和反应气体的气相反应量、副生成物的产生量落入所允许的范围内,还可以使用其他方法。例如,有原料气体和反应气体的供给时机相重叠的方法。
此外,上述中,对以2个腔室为一组的PM进行了说明,但不限于此,还可以是以3个以上腔室为一组的PM。在3个以上的情况下,在向1个腔室搬送基板但不向该一个腔室以外的至少一个其他腔室搬送基板时,通过向该一个腔室供给处理气体,并向其他腔室供给非活性气体,能够获得上述的同样效果。
此外,上述中,记载了每次处理一片基板的单晶圆式装置,但不限于此,还可以是在处理室中在垂直方向或水平方向上排列多个基板的批量式装置。
此外,上述中,虽然记载了成膜处理,但是也可以适用其他处理。例如,有扩散处理、氧化处理、氮化处理、氧氮化处理、还原处理、氧化还原处理、蚀刻处理、加热处理等。例如,在仅使用反应气体对在基板表面、基板上形成的膜进行等离子体氧化处理、等离子体氮化处理时也可以适用本公开。此外,在仅使用反应气体的等离子体退火处理中与可以使用。
此外,上述中,记载了半导体装置的制造工序,但实施方式所涉及的发明也可以适用于半导体装置的制造工序之外。例如,有液晶设备的制造工序、太阳能电池的制造工序、发光设备的制造工序、玻璃基板的处理工序、陶瓷基板的处理工序、导电性基板的处理工序等的基板处理。
此外,上述中,显示了作为原料气体使用含硅气体、作为反应气体使用含氧气体来形成硅氧化膜的例子,但也可以适用于使用其他气体的成膜。例如,有含氧膜、含氮膜、含碳膜、含硼膜、含金属膜以及含有多种这些元素的膜等。需说明的是,作为这样的膜,例如有SiN膜、AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。

Claims (19)

1.一种基板处理系统,具有:
载置多个收容有多个基板的容纳容器的装载口,
能够处理所述基板的多个处理室,
将在所述容纳容器内容纳的多个基板按照对应于所述多个处理室的处理次序的顺序向所述多个处理室分别搬送的搬送部,
生成对应于所述多个处理室的各自的、具有所述处理室的累计处理时间、累积膜厚、处理气体的累计供给时间、反应气体的累计供给时间、工艺压力的累计维持时间、基板载置台维持在工艺温度的累计时间、处理室内生成的等离子体的累计放电时间中的至少一种的第一计数数据的计算部,
存储所述第一计数数据的存储部,以及
控制部,所述控制部控制所述搬送部,以使得在向对应于所述第一计数数据中最大计数数的处理室赋予搬送标识数据,将所述容纳容器的下一个容纳容器所容纳的多个基板从赋予了该搬送标识数据的处理室的下一个处理次序的处理室按照所述顺序来搬送。
2.如权利要求1所述的基板处理系统,
具有具备多个所述处理室的工艺模块,
所述控制部控制所述计算部,使得由对应于所述工艺模块所具有的所述处理室的第一计数数据,生成第二计数数据。
3.如权利要求2所述的基板处理系统,
所述控制部控制所述计算部,使得通过将所述工艺模块所具有的全部处理室的所述第一计数数据进行累加来算出所述第二计数数据。
4.如权利要求1所述的基板处理系统,
所述控制部控制所述计算部,使得在所述处理室内不存在所述基板的状态下执行所述处理时,生成对应于该处理室的所述第一计数数据。
5.如权利要求1所述的基板处理系统,
所述控制部控制所述计算部,使得在所述处理室内不存在所述基板的状态下执行所述处理时,不生成对应于该处理室的所述第一计数数据。
6.如权利要求2所述的基板处理系统,
所述搬送部具有能够保持多个所述基板的保持部,
所述控制部控制所述搬送部,使得在搬送下一个容纳容器内的基板时,搬送与所述工艺模块所具有的所述处理室的数量对应的基板。
7.如权利要求1所述的基板处理系统,
所述控制部从所述存储部读出作为所述第一计数数据的包括所述处理室的累计处理时间数据的数据。
8.如权利要求1所述的基板处理系统,
所述控制部从所述存储部读出作为所述第一计数数据的包括累积膜厚数据的数据。
9.如权利要求1所述的基板处理系统,
所述装载口中载置着这样的容纳容器,即所述容纳容器内容纳着不是所述处理对象的所述基板,或所述处理对象的所述基板处于不满载的状态,
所述控制部控制所述搬送部,将所述处理对象的基板从所述容纳容器分别搬送至所述多个处理室。
10.一种半导体装置的制造方法,具有:
在装载口中载置收容了多个基板的容纳容器的工序,
将在所述容纳容器的容纳的多个基板按照对应于多个处理室的处理次序的顺序向能够处理所述基板的多个处理室的各自搬送的工序,
在所述多个处理室的各自中,处理所述基板的工序,
生成对应于所述多个处理室的各自的、具有所述处理室的累计处理时间、累积膜厚、处理气体的累计供给时间、反应气体的累计供给时间、工艺压力的累计维持时间、基板载置台维持在工艺温度的累计时间、处理室内生成的等离子体的累计放电时间中的至少一种的第一计数数据的工序,
存储所述第一计数数据的工序,
向对应于所述第一计数数据中最大计数数的处理室赋予搬送标识数据的工序,
从赋予了所述搬送标识数据的处理室的下一个处理次序的处理室按照所述顺序搬送所述容纳容器的下一个容纳容器中所容纳的多个基板的工序。
11.如权利要求10所述的半导体装置的制造方法,
具有具备多个所述处理室的工艺模块,
具有由对应于所述工艺模块所具有的所述处理室的第一计数数据,生成第二计数数据的工序。
12.如权利要求11所述的半导体装置的制造方法,具有:
将所述工艺模块所具有的全部处理室的所述第一计数数据进行累加来算出所述第二计数数据的工序。
13.如权利要求10所述的半导体装置的制造方法,具有:
在所述处理室内不存在所述基板的状态下执行所述处理时,生成对应于该处理室的所述第一计数数据的工序。
14.如权利要求10所述的半导体装置的制造方法,具有:
在所述处理室内不存在所述基板的状态下执行所述处理时,不生成对应于该处理室的所述第一计数数据的工序。
15.一种记录介质,其记录有通过计算机使基板处理系统执行如下步骤的程序:
在装载口中载置收容了多个基板的容纳容器的步骤,
将在所述容纳容器的容纳的多个基板按照对应于多个处理室的处理次序的顺序向能够处理所述基板的多个处理室的各自搬送的步骤,
在所述多个处理室的各自中,处理所述基板的步骤,
生成对应于所述多个处理室的各自的、具有所述处理室的累计处理时间、累积膜厚、处理气体的累计供给时间、反应气体的累计供给时间、工艺压力的累计维持时间、基板载置台维持在工艺温度的累计时间、处理室内生成的等离子体的累计放电时间中的至少一种的第一计数数据的步骤,
存储所述第一计数数据的步骤,
向对应于所述第一计数数据中最大计数数的处理室赋予搬送标识数据的步骤,
从赋予了所述搬送标识数据的处理室的下一个处理次序的处理室按照所述顺序搬送所述容纳容器的下一个容纳容器中所容纳的基板的步骤。
16.如权利要求15所述的记录介质,
具有具备多个所述处理室的工艺模块,
具有由对应于所述工艺模块所具有的所述处理室的第一计数数据,生成第二计数数据的步骤。
17.如权利要求16所述的记录介质,
具有将所述工艺模块所具有的全部处理室的所述第一计数数据进行累加来算出所述第二计数数据的步骤。
18.如权利要求15所述的记录介质,
具有在所述处理室内不存在所述基板的状态下执行所述处理时,生成对应于该处理室的所述第一计数数据的步骤。
19.如权利要求15所述的记录介质,
具有在所述处理室内不存在所述基板的状态下执行所述处理时,不生成对应于该处理室的所述第一计数数据的步骤。
CN201811097521.8A 2018-03-26 2018-09-19 基板处理系统、半导体装置的制造方法及记录介质 Active CN110364413B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-057986 2018-03-26
JP2018057986A JP6704008B2 (ja) 2018-03-26 2018-03-26 基板処理装置、半導体装置の製造方法および記録媒体

Publications (2)

Publication Number Publication Date
CN110364413A CN110364413A (zh) 2019-10-22
CN110364413B true CN110364413B (zh) 2024-01-09

Family

ID=67984181

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811097521.8A Active CN110364413B (zh) 2018-03-26 2018-09-19 基板处理系统、半导体装置的制造方法及记录介质

Country Status (5)

Country Link
US (1) US10503152B2 (zh)
JP (1) JP6704008B2 (zh)
KR (1) KR102165541B1 (zh)
CN (1) CN110364413B (zh)
TW (1) TWI710051B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11036202B2 (en) * 2018-12-13 2021-06-15 Lam Research Corporation Real-time health monitoring of semiconductor manufacturing equipment
JPWO2021186562A1 (zh) * 2020-03-17 2021-09-23
KR102634948B1 (ko) * 2020-08-26 2024-02-08 삼성전자주식회사 기판 처리 시스템 및 기판 처리 장치
CN114426201A (zh) * 2020-10-29 2022-05-03 合肥欣奕华智能机器股份有限公司 一种基板搬运机器人及其控制方法
CN112410765A (zh) * 2020-11-05 2021-02-26 宣城睿晖宣晟企业管理中心合伙企业(有限合伙) 一种团簇式设备控制方法及装置及存储介质
KR102384032B1 (ko) * 2021-12-22 2022-04-11 주식회사 오토솔루션 다양한 종류의 반도체 웨이퍼를 정확하게 분류할 수 있는 반도체 웨이퍼 정렬 시스템
JP2023124368A (ja) * 2022-02-25 2023-09-06 キオクシア株式会社 半導体製造装置、ウェハ搬送システム、ウェハ搬送方法、及びウェハ搬送プログラム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653606A (zh) * 2002-05-15 2005-08-10 东京毅力科创株式会社 基板处理装置和基板处理方法
CN1779906A (zh) * 2004-11-01 2006-05-31 东京毅力科创株式会社 基板处理方法、基板处理系统及基板处理程序
CN101192055A (zh) * 2006-11-28 2008-06-04 东京毅力科创株式会社 基板处理装置的控制装置、控制方法和存储有控制程序的存储介质
JP2013207013A (ja) * 2012-03-28 2013-10-07 Hitachi High-Technologies Corp 真空処理装置
TW201347075A (zh) * 2012-03-07 2013-11-16 Hitachi Int Electric Inc 基板處理裝置,半導體裝置之製造方法及基板處理方法
CN106558516A (zh) * 2015-09-29 2017-04-05 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0689082B2 (ja) * 1987-02-04 1994-11-09 富士ゼロックス株式会社 単分散重合体の製造方法
US5943230A (en) * 1996-12-19 1999-08-24 Applied Materials, Inc. Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system
US6089082A (en) 1998-12-07 2000-07-18 Ford Global Technologies, Inc. Air estimation system and method
US6745093B1 (en) * 1999-03-17 2004-06-01 Hitachi, Ltd. Vacuum process apparatus and method of operating the same
JP3768798B2 (ja) * 2000-10-25 2006-04-19 東京エレクトロン株式会社 洗浄処理装置および洗浄処理方法
JP4986784B2 (ja) 2007-09-18 2012-07-25 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP5476337B2 (ja) * 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5592863B2 (ja) * 2011-11-02 2014-09-17 株式会社日立ハイテクノロジーズ 真空処理装置および被処理体の搬送方法
JP6144924B2 (ja) * 2012-03-21 2017-06-07 株式会社日立国際電気 基板処理装置、メンテナンス方法及びプログラム
JP5571122B2 (ja) 2012-06-06 2014-08-13 東京エレクトロン株式会社 基板処理装置および基板処理装置の制御方法
JP2014120618A (ja) 2012-12-17 2014-06-30 Tokyo Electron Ltd 真空処理装置及び真空処理方法
WO2014157358A1 (ja) * 2013-03-28 2014-10-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
JP6420609B2 (ja) * 2013-11-21 2018-11-07 株式会社Screenホールディングス 基板搬送方法および基板処理装置
US9606532B2 (en) * 2014-01-29 2017-03-28 Taiwan Semiconductor Manufacturing Company Limited Method and manufacturing system

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653606A (zh) * 2002-05-15 2005-08-10 东京毅力科创株式会社 基板处理装置和基板处理方法
CN1779906A (zh) * 2004-11-01 2006-05-31 东京毅力科创株式会社 基板处理方法、基板处理系统及基板处理程序
CN101192055A (zh) * 2006-11-28 2008-06-04 东京毅力科创株式会社 基板处理装置的控制装置、控制方法和存储有控制程序的存储介质
JP2008135517A (ja) * 2006-11-28 2008-06-12 Tokyo Electron Ltd 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
TW201347075A (zh) * 2012-03-07 2013-11-16 Hitachi Int Electric Inc 基板處理裝置,半導體裝置之製造方法及基板處理方法
JP2013207013A (ja) * 2012-03-28 2013-10-07 Hitachi High-Technologies Corp 真空処理装置
CN106558516A (zh) * 2015-09-29 2017-04-05 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法

Also Published As

Publication number Publication date
TW201941342A (zh) 2019-10-16
US10503152B2 (en) 2019-12-10
CN110364413A (zh) 2019-10-22
KR102165541B1 (ko) 2020-10-14
KR20190112614A (ko) 2019-10-07
JP6704008B2 (ja) 2020-06-03
JP2019169663A (ja) 2019-10-03
TWI710051B (zh) 2020-11-11
US20190294151A1 (en) 2019-09-26

Similar Documents

Publication Publication Date Title
CN110364413B (zh) 基板处理系统、半导体装置的制造方法及记录介质
KR101796542B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN106486393B (zh) 衬底处理装置及半导体器件的制造方法
CN107154370B (zh) 衬底处理装置、半导体器件的制造方法
CN110957236B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
CN110429049B (zh) 衬底处理装置、半导体器件的制造方法及记录介质
KR101916394B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP7191910B2 (ja) 基板処理システム、半導体装置の製造方法及びプログラム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant