CN110310884A - 半导体装置的制造方法、基板处理装置及存储介质 - Google Patents

半导体装置的制造方法、基板处理装置及存储介质 Download PDF

Info

Publication number
CN110310884A
CN110310884A CN201910140399.6A CN201910140399A CN110310884A CN 110310884 A CN110310884 A CN 110310884A CN 201910140399 A CN201910140399 A CN 201910140399A CN 110310884 A CN110310884 A CN 110310884A
Authority
CN
China
Prior art keywords
gas
halogen
film
supply
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
CN201910140399.6A
Other languages
English (en)
Inventor
出贝求
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
INTERNATIONAL ELECTRIC CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by INTERNATIONAL ELECTRIC CO Ltd filed Critical INTERNATIONAL ELECTRIC CO Ltd
Publication of CN110310884A publication Critical patent/CN110310884A/zh
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种半导体装置的制造方法、基板处理装置及存储介质,形成具有均匀性高的薄膜的半导体装置。该技术具有:向在表面形成有基底膜的基板供给含卤素气体而在所述基板表面形成卤素末端的工序;以及将包含氧成分及氢成分的含OH气体向所述基板供给而使所述基板表面进行OH末端化的工序。

Description

半导体装置的制造方法、基板处理装置及存储介质
技术领域
本公开涉及半导体装置的制造方法、基板处理装置及存储介质。
背景技术
近年来,半导体装置具有提高集成度的倾向且为此而要求尽可能地降低膜的厚度。例如在专利文献1中公开了这种半导体装置。
现有技术文献
专利文献
专利文献1:日本特开2017-69407号公报
发明内容
发明所要解决的课题
在半导体装置中重叠地构成有各种薄膜。为了维持半导体装置的特性而需要提高各薄膜的特性。而且,为使薄膜的特性提高而需要提高所生成的薄膜的均匀性。
本公开提供一种能够形成具有均匀性高的薄膜的半导体装置的技术。
用于解决课题的方案
根据一实施例,提供一种技术,具有:
向在表面形成有基底膜的基板供给含卤素气体而在所述基板表面形成卤素末端的工序;以及
向所述基板供给包含氧成分及氢成分的含OH气体而对所述基板表面进行OH末端化的工序。
发明的效果
根据本公开的技术,可提供一种能够形成具有均匀性高的薄膜的半导体装置的技术。
附图说明
图1是用于对以三维方式构成电极的三维结构的半导体装置的结构进行说明的图。
图2是用于对生成图1所示半导体装置时的具体处理依次进行说明的流程图。
图3(A)是用于说明孔形成工序S110的侧视图,图3(B)是从上表面观察的俯视图。
图4(A)是用于说明孔填充工序S112的侧视图,图4(B)是用于说明层叠膜108的图。
图5是用于说明牺牲膜除去工序S114的图。
图6是用于对膜成分的不均匀性所引起的问题进行说明的图。
图7(A)是用于对在成膜初期的核形成密度高的情况下相邻的核彼此合体而形成连续的膜的情形进行说明的图,图7(B)是用于对在成膜初期的核形成密度低的情况下相邻的核彼此没有合体而形成不均匀的膜的情形进行说明的图。
图8(A)是表示羟基(OH基)的OH末端成为吸附位点时的情形的图,图8(B)是表示键被切断的缺陷部位(悬空键)成为吸附位点时的情形的图。
图9是表示本公开的一实施方式的基板处理装置的立式处理炉的概略的纵剖视图。
图10是图9的A—A线概略横剖视图。
图11是本公开的一实施方式的基板处理装置的控制器的概略结构图,以框图示出了控制器的控制系统。
图12是表示本公开的一实施方式的气体供给时机的图。
图13(A)是表示利用WF6气体暴露前的形成有氧化硅膜的晶圆200表面的情形的模型图,图13(B)是表示刚刚利用WF6气体使晶圆200表面暴露之后的状态的模型图,图13(C)是表示利用WF6气体暴露后的晶圆200表面的情形的模型图。
图14(A)是表示刚刚供给了H2O气体之后的晶圆200表面的状态的模型图,图14(B)是表示利用H2O气体暴露后的晶圆200表面的情形的模型图。
图15是表示在吸附位点的数量密度低的基底膜上形成了TiN膜时的TiN膜成膜后的热氧化膜表面的SEM图像的图。
图16是表示在氢氟酸处理后成膜的TiN膜的电阻率、和在800℃退火处理后成膜的TiN膜的电阻率的图。
图中:
10—基板处理装置;121—控制器;200—晶圆(基板);201—处理室。
具体实施方式
<本公开的一实施方式>
以下对本公开的第一实施方式进行说明。
首先,对以使用本技术而形成的薄膜为结构之一的器件结构的一例进行说明。该器件是以三维方式构成电极的三维结构的半导体装置。如图1所示,该半导体装置是在晶圆100上交替地层叠绝缘膜102和成为电极的导电膜112的结构。以下参照图2对生成该半导体装置时的具体的处理依次进行说明。
(第一绝缘膜形成工序S102)
首先,在第一绝缘膜形成工序S102中,在形成有共用源极线(CSL)101的晶圆100上形成绝缘膜102。这里,绝缘膜102由氧化硅(SiO2)膜构成。SiO2膜是通过将晶圆100加热为预定温度并且将含硅气体和含氧气体向晶圆100上供给而形成。
(牺牲膜形成工序S104)
接下来,对牺牲膜形成工序S104进行说明。这里,如图3所示,在所形成的绝缘膜102上形成牺牲膜103。牺牲膜103将在后述的牺牲膜除去工序S114中被除去,且相对于绝缘膜102具有蚀刻的选择性。具有蚀刻的选择性是指具有在暴露于蚀刻液中时牺牲膜103被蚀刻而绝缘膜102不被蚀刻的性质。
牺牲膜103例如由氮化硅(SiN)膜构成。SiN膜是通过将晶圆100加热为预定温度并且将含硅气体和含氮气体向晶圆100上供给而形成。详情后述。
(S106)
接下来,判断上述的第一绝缘膜形成工序S102与牺牲膜形成工序S104的组合是否实施了预定次数。即,判断图1所示的绝缘膜102与牺牲膜103的组合是否层叠了预定数量。在本实施方式中,说明所生成的层叠数例如为8层,即绝缘膜102为8层(从绝缘膜102(1)到绝缘膜102(8))、牺牲膜103为8层(从牺牲膜103(1)到牺牲膜103(8))交替地形成的情形。
若判断为没有实施到预定次数则选择“否”而转入第一绝缘膜形成工序S102。若判断为实施了预定次数即判断为形成了预定层数则选择“是”而转入第二绝缘膜形成工序S108。
(第二绝缘膜形成工序S108)
接下来,在分别形成有8层的绝缘膜102、牺牲膜103之上进一步形成绝缘膜105。绝缘膜105以与绝缘膜102同样的方法形成且形成在牺牲膜103上。
(孔形成工序S110)
接下来,参照图3(A)至(B)来说明孔形成工序S110。图3(A)是从与图1相同的侧面来观察的图,图3(B)是从上表面观察的俯视图。此外,图3(B)的α-α’的剖视图与图3(A)相当。
这里,相对于绝缘膜102、105和牺牲膜103的层叠结构而形成孔106。如图3(A)所示,孔106形成为使CSL101露出。如图3(B)所示,孔106在绝缘膜105的面内设有多个。
(孔填充工序S112)
接下来,参照图4(A)至(B)来说明孔填充工序S112。在孔填充工序S112中进行如下处理:利用层叠膜108等对在孔形成工序S110中形成的孔106的内侧进行填充。在孔106内从外周侧起按顺序形成保护膜107(金属氧化(Al2O3)膜)、层叠膜108、沟道多晶硅膜109、填充绝缘膜(氧化硅(SiO2)膜)110。各膜构成为筒状。
层叠膜108构成为包括:电极间绝缘膜108a、电荷捕获膜(氮化硅膜SiN)108b、沟道绝缘膜(SiO2膜)108c。电极间绝缘膜108a配置于保护膜107与电荷捕获膜108b之间。沟道绝缘膜108c配设于电荷捕获膜108b与沟道多晶硅膜109之间。
电荷捕获膜108b是与牺牲膜103相同的成分,因此在将牺牲膜103除去时有可能同时地被除去。为了避免这种情况,在孔106的内壁表面设置保护膜107以防止电荷捕获膜108b被除去。
(牺牲膜除去工序S114)
接下来,参照图5来说明牺牲膜除去工序S114。在牺牲膜除去工序S114中,利用湿法蚀刻将牺牲膜103除去。除去的结果是在曾经形成有牺牲膜103的位置形成空隙111。
(导电膜形成工序S116)
接下来,参照图1来说明导电膜形成工序S116。在导电膜形成工序S116中,在通过牺牲膜除去工序S114所形成的空隙111形成作为电极的导电膜112。导电膜112例如由钨等构成。通过这种方式形成导电膜112,从而生成如图1所示那样的半导体装置。
在以上说明的结构中对于例如在孔106内填充的保护膜107、层叠膜108、沟道多晶硅膜109、填充绝缘膜110要求尽可能地降低膜厚。
另外,在将极薄的薄膜应用于器件结构的情况下要求电阻值、电荷迁移率等的特性均匀。为此而要求提高膜成分的均匀性。
接下来,参照图6对膜成分的不均匀性所引发的问题进行说明。图6是以电极间绝缘膜108a为例的说明图。在图6中,在电极间绝缘膜108a存在有低密度部113和高密度部114。低密度部113是膜成分密度低的部分。另外,低密度部113也被称为针孔。高密度部114是满足所需的膜成分密度的部分。并且,低密度部113的膜成分密度低于高密度部114的膜密度。
如上所述,电极间绝缘膜108a与电荷捕获膜108b相邻。即,在图6中,电极间绝缘膜108a以在XY平面上相邻的方式配置。电极间绝缘膜108a由于具有预定的膜成分密度而抑制了从电荷捕获膜108b泄漏的泄漏电流,但是在膜成分密度低的情况下会导致泄漏电流的产生。即,虽然在高密度部114不会产生泄漏电流,但是在低密度部113有可能产生泄漏电流。
另外,对于取代电极间绝缘膜108a而应用于电路等的金属膜的情况进行说明。在金属膜的情况下,例如是以在XY平面上相邻的方式形成绝缘膜。因此,在金属膜中流动的电荷是流动于X轴方向。由于电阻值在高密度部114和低密度部113并不相同,因此电荷的流通量有可能不同或者电荷的流动会发生紊乱。
若是这样产生膜成分密度的偏差,则有可能降低半导体装置的特性。
接下来,对引起膜成分密度的偏差的原因进行说明。发明人经过深入研究发现:基底膜的吸附位点不连续是引起膜成分密度的偏差的原因之一。
首先,参照图7(A)至(B)对导致薄膜不连续的重要因素进行说明。图7是在氧化硅膜上形成膜的例子。薄膜通过以下的步骤而生长。(1)原料分子的向吸附位点的吸附;(2)以吸附的原料分子为起始形成由膜的构成元素构成的微小的核;(3)核的生长;(4)在核的生长过程中相邻的核彼此合体。在如图7(A)所示那样成膜初期的核形成密度高的情况下,当核少量生长时则相邻的核彼此合体而形成连续的膜。
但是,在如图7(B)所示那样成膜初期的核形成密度低的情况下,即使核生长也会由于与相邻的核的间隔较大而无法使核彼此合体而导致成为不连续的膜。为了如以上那样形成连续的薄膜而使成膜初期的核形成密度高是很重要的。
而且在薄膜的生长步骤即(1)的步骤中需要使原料分子吸附的基底膜的吸附位点的数量密度提高。作为原料分子的吸附位点,包括如图8(A)所示那样羟基(OH基)的OH末端、如图8(B)所示那样的键被切断的缺陷部位(悬空键)。
作为基底膜的吸附位点的数量密度降低的重要因素,例如是在基底膜上形成膜时与吸附位点结合的分解了的前体与基底膜接触。例如是分解了的前体与基底膜接触且空开了吸附位点或者与吸附位点结合。
另外,虽然为了使基底膜改性而可以考虑进行退火处理等,但是需要考虑退火处理会导致一部分的吸附位点被除去以及吸附位点间的距离增大的问题。但是,难以有目的地对吸附位点间的间隔进行管理而在吸附位点产生偏差。即,吸附位点的数量密度会降低。
为此在本技术中提高吸附位点的数量密度并使形成的薄膜的成分密度均匀。以下说明详情。
<本公开的一实施方式中的基板处理装置10>
以下参照图9~12来进行说明。基板处理装置10构成为在半导体装置的制造工序中使用的装置的一例。另外,在以下的说明中是对在氧化硅(SiO2)膜上作为薄膜形成氮化钛(TiN)膜的情况进行说明。另外,难以将如图8(B)所示那样的缺陷部位作为吸附位点而有目的地生成。因此在本实施方式中,将如图8(A)所示那样的羟基的OH末端作为吸附位点在氧化硅膜的表面上生成。
(1)基板处理装置的结构
基板处理装置10具备处理炉202,该处理炉202设有作为加热单元(加热机构、加热系统)的加热器207。加热器207呈圆筒形状且通过被作为保持板的加热器座(未图示)支撑而垂直地安装。
在加热器207的内侧与加热器207呈同心圆状地配设有构成反应容器(处理容器)的外管203。外管203例如由石英(SiO2)、碳化硅(SiC)等的耐热性材料形成为上端闭塞而下端开口的圆筒形状。在外管203的下方与外管203呈同心圆状地配设有歧管(入口法兰)209。歧管209例如由不锈钢(SUS)等金属形成为上端及下端开口的圆筒形状。在歧管209的上端部与外管203之间设有作为密封部件的O型环220a。由于歧管209被加热器座支撑而使得外管203成为垂直地安装的状态。
在外管203的内侧配设有构成反应容器的内管204。内管204例如由石英(SiO2)、碳化硅(SiC)等耐热性材料形成为上端闭塞而下端开口的圆筒形状。主要是由外管203、内管204、歧管209构成了处理容器(反应容器)。在处理容器的筒中空部(内管204的内侧)形成有处理室201。
处理室201构成为能够将作为基板的晶圆200以通过后述的晶舟217呈水平姿态且在铅垂方向上排列多层的状态收容。
在处理室201内以将歧管209的侧壁及内管204贯通的方式设有喷嘴410、420、430。喷嘴410、420、430与气体供给管310、320、330分别连接。但是,本实施方式的处理炉202并不限定于上述的方式。
在气体供给管310、320、330从上游侧起按顺序分别设有流量控制器(流量控制部)即质量流控制器(MFC)312、322、332。另外,在气体供给管310、320、330分别设有作为开闭阀的阀门314、324、334。在气体供给管310、320、330的阀门314、324、334的下游侧分别连接有供给惰性气体的气体供给管510、520、530。在气体供给管510、520、530从上游侧起按顺序分别设有流量控制器(流量控制部)即MFC512、522、532以及作为开闭阀的阀门514、524、534。
在气体供给管310、320、330的前端部分别连接有喷嘴410、420、430。喷嘴410、420、430构成L字型的喷嘴且以其水平部将歧管209的侧壁及内管204贯通的方式设置。喷嘴410、420、430的垂直部向内管204的径向外侧突出并且设置于以在铅垂方向上延伸的方式形成的沟道形状(槽形状)的预备室201a的内部,并且在预备室201a内沿着内管204的内壁朝向上方(晶圆200的排列方向上方)设置。
喷嘴410、420、430设置为从处理室201的下部区域延伸至处理室201的上部区域,在与晶圆200对置的位置分别设有多个气体供给孔410a、420a、430a。由此,从喷嘴410、420、430的气体供给孔410a、420a、430a分别向晶圆200供给处理气体。该气体供给孔410a、420a、430a从内管204的下部到上部设有多个,且分别具有相同的开口面积并以相同的开口间距设置。但是,气体供给孔410a、420a、430a并不限定于上述的方式。例如,也可以使开口面积随着从内管204的下部朝向上部而逐渐增大。由此,能够进一步使从气体供给孔410a、420a、430a供给的气体的流量均匀化。
喷嘴410、420、430的气体供给孔410a、420a、430a在从后述的晶舟217的下部到上部的高度位置设有多个。因此,从喷嘴410、420、430的气体供给孔410a、420a、430a供给到处理室201内的处理气体被向从晶舟217的下部到上部收容的晶圆200的整个区域供给。虽然喷嘴410、420、430只要以从处理室201的下部区域延伸到上部区域的方式设置即可,但是优选为以延伸到晶舟217的顶棚附近的方式设置。
从气体供给管310将六氟化钨(WF6)等包含卤族元素的处理气体(含卤素气体)、或者四氯化钛(TiCl4)等包含金属元素的原料气体(含金属气体)经由MFC312、阀门314、喷嘴410向处理室201内供给。
从气体供给管320将包含氧成分及氢成分的处理气体(含OH气体)、例如是水蒸气(H2O)经由MFC322、阀门324、喷嘴420向处理室201内供给。
作为处理气体从气体供给管330将反应气体经由MFC332、阀门334、喷嘴430向处理室201内供给。作为反应气体例如可采用含氮(N)的含N气体,且作为其一例能够采用氨(NH3)气。
作为惰性气体从气体供给管510、520、530例如将氮(N2)气分别经由MFC512、522、532、阀门514、524、534、喷嘴410、420、430向处理室201内供给。以下对作为惰性气体采用N2气的例子进行说明,但是作为惰性气体除了N2气以外例如也可以采用氩(Ar)气、氦(He)气、氖(Ne)气、氙(Xe)气体等稀有气体。
虽然主要是由气体供给管310、320、330、MFC312、322、332、阀门314、324、334、喷嘴410、420、430构成处理气体供给系统,但是也可以考虑仅将喷嘴410、420、430作为处理气体供给系统。处理气体供给系统也可以简称为气体供给系统。虽然在从气体供给管310流通原料气体的情况下,主要是由气体供给管310、MFC312、阀门314构成原料气体供给系统,但是也可以考虑将喷嘴410包含于原料气体供给系统。另外,虽然在从气体供给管320流通还原气体的情况下,主要是由气体供给管320、MFC322、阀门324构成还原气体供给系统,但是可以考虑将喷嘴420包含于还原气体供给系统。另外,虽然在从气体供给管330流通反应气体的情况下,主要是由气体供给管330、MFC332、阀门334构成反应气体供给系统,但是也可以考虑将喷嘴430包含于反应气体供给系统。在作为反应气体从气体供给管330供给含氮气体的情况下,也可以将反应气体供给系统称为含氮气体供给系统。另外,主要是由气体供给管510、520、530、MFC512、522、532、阀门514、524、534构成惰性气体供给系统。
在经由气体供给管310供给含卤素气体的情况下,含卤素气体供给系统也可以主要由气体供给管310、MFC312及阀门314构成。在经由气体供给管320供给水蒸气(H2O)气体等的还原性气体的情况下,还原性气体供给系统也可以主要由气体供给管320、MFC322及阀门324构成。还原性气体供给系统也可以进一步包含喷嘴420。
在经由气体供给管320供给含羟基气体(即含OH气体)的情况下,含羟基气体供给系统可以主要由气体供给管320、MFC322及阀门324构成。含羟基气体供给系统可以进一步包含喷嘴420。含羟基气体供给系统也可以称为“含OH气体供给系统”。
就本实施方式的气体供给的方法而言,是经由在由内管204的内壁和多张晶圆200的端部定义的圆环状的纵长的空间内的预备室201a内配置的喷嘴410、420、430来输送气体。并且,从在喷嘴410、420、430的与晶圆对置的位置设置的多个气体供给孔410a、420a、430a向内管204内喷出气体。具体而言,是通过喷嘴410的气体供给孔410a、喷嘴420的气体供给孔420a及喷嘴430的气体供给孔430a而朝向与晶圆200的表面平行的方向喷出原料气体等。
排气孔(排气口)204a是在内管204的侧壁形成于与喷嘴410、420、430对置的位置的贯通孔,例如是沿着铅垂方向细长地开设的缝隙状的贯通孔。从喷嘴410、420、430的气体供给孔410a、420a、430a向处理室201内供给而在晶圆200的表面上流动的气体经由排气孔204a向形成于内管204与外管203之间的间隙所构成的排气路206内流动。并且,向排气路206内流动的气体在排气管231内流动并向处理炉202外排出。
排气孔204a设置于与多个晶圆200对置的位置,且从气体供给孔410a、420a、430a向处理室201内的晶圆200附近供给的气体在朝向水平方向流通之后经由排气孔204a向排气路206内流动。排气孔204a并不限于构成为缝隙状的贯通孔的情况,也可以是由多个孔构成。
在歧管209设有对处理室201内的环境气体进行排气的排气管231。在排气管231从上游侧起按顺序连接有:作为用于对处理室201内的压力进行检测的压力检测器(压力检测部)的压力传感器245;APC(Auto Pressure Controller:自动压力控制器)阀门243;作为真空排气装置的真空泵246。APC阀门243通过在使真空泵246工作的状态下使阀开闭而能够进行处理室201内的真空排气以及停止真空排气,此外还能够通过在使真空泵246工作的状态下对阀开度进行调节而对处理室201内的压力进行调整。主要是由排气孔204a,排气路206,排气管231,APC阀门243及压力传感器245构成排气系统。也可以考虑将真空泵246包含于排气系统。
在歧管209的下方设有作为炉口盖体的密封帽219,其能够气密地闭塞歧管209的下端开口。密封帽219构成为从铅垂方向下侧与歧管209的下端抵接。密封帽219例如由SUS等金属形成为圆盘状。在密封帽219的上表面设有作为密封部件的O型环220b,其与歧管209的下端抵接。在密封帽219的处理室201的相反侧设有旋转机构267,其使收容晶圆200的晶舟217旋转。旋转机构267的旋转轴255贯通密封帽219而与晶舟217连接。旋转机构267构成为通过使晶舟217旋转而使晶圆200旋转。密封帽219构成为利用在外管203的外部垂直地设置的作为升降机构的晶舟升降机115而沿着铅垂方向升降。晶舟升降机115构成为通过使密封帽219升降而能够将晶舟217搬入到处理室201内以及搬出到处理室201外。晶舟升降机115构成为输送装置(输送机构)而将晶舟217以及在晶舟217收容的晶圆200向处理室201内外输送。
作为基板支撑件的晶舟217将构成为多张例如是25~200张的晶圆200以水平姿态且彼此中心一致的状态在铅垂方向上空出间隔地排列。晶舟217例如由石英、SiC等耐热性材料构成。在晶舟217的下部将例如由石英、SiC等耐热性材料构成的隔热板218以水平姿态且多层(未图示)地支撑。通过该结构,使得来自加热器207的热量不易传导至密封帽219侧。但是,本实施方式并不限定于上述的方式。例如可以在晶舟217的下部不是设置隔热板218,而是设置由石英、SiC等耐热性材料构成的筒状的部材即隔热筒。
如图10所示,在内管204内设有作为温度检测器的温度传感器263,且构成为基于由于温度传感器263检出的温度信息来调整向加热器207的通电量,从而使处理室201内的温度成为所需的温度分布。温度传感器263与喷嘴410、420及430同样地构成为L字型且沿着内管204的内壁设置。
如图11所示,作为控制部(控制单元)的控制器121构成为具备CPU(CentralProcessing Unit:中央处理器)121a、RAM(Random Access Memory:随机存取存储器)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d构成为能够经由内部总线与CPU121a进行数据交换。控制器121与例如构成为触控面板等的输入输出装置122连接。
存储装置121c例如由闪存、HDD(Hard Disk Drive:硬盘驱动器)等构成。在存储装置121c内以能够读取的方式存储有:对基板处理装置的动作进行控制的控制程序、记载有后述的半导体装置的制造方法的步骤、条件等的处理方案等。处理方案是以能够使控制器121执行后述的半导体装置的制造方法中的各工序(各步骤)而获得预定的结果的方式进行组合而作为程序发挥功能。以下也将该处理方案、控制程序等简单地总称为程序。在本公开使用程序这一用语时包括仅指处理方案单体、仅指控制程序单体、或者是指处理方案及控制程序的组合。RAM121b构成为将由CPU121a读出的程序、数据等暂时地保持的存储器区域(工作区)。
I/O端口121d与上述的MFC312、322、332,512、522、532、阀门314、324、334,514、524、534、压力传感器245、APC阀门243、真空泵246、加热器207、温度传感器263、旋转机构267、晶舟升降机115等连接。
CPU121a构成为从存储装置121c读出控制程序来执行并且根据来自输入输出装置122的操作命令的输入等而从存储装置121c读出方案等。CPU121a构成为按照读出的方案的内容来控制:MFC312、322、332,512、522、532对各种气体的流量调整动作、阀门314、324、334,514、524、534的开闭动作、APC阀门243的开闭动作及APC阀门243的基于压力传感器245的压力调整动作、基于温度传感器263的加热器207的温度调整动作、真空泵246的启动及停止、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作、晶圆200向晶舟217的收容动作等。
控制器121能够通过将外部存储装置(例如、磁带、软盘、硬盘等的磁盘、CD、DVD等光盘、MO等光磁盘、USB存储器、存储卡等的半导体存储器)123中所存储的上述的程序安装于计算机而构成。存储装置121c、外部存储装置123构成为计算机能够读取的存储介质。以下也将它们简单地总称为存储介质。在本说明书中,存储介质包括仅指存储装置121c单体的情况、仅指外部存储装置123单体的情况、或者是这两方的情况。向计算机提供程序也可以不使用外部存储装置123而是利用互联网、专用线路等通信手段。
(2)基板处理工序(成膜工序)
作为半导体装置(器件)的制造工序中的一个工序,参照图12对在作为基底膜形成有氧化硅膜(SiO2)的晶圆200上形成例如氮化钛(TiN)膜的工序的一例进行说明。形成氮化钛膜的工序使用上述的基板处理装置10的处理炉202来执行。在以下的说明中,构成基板处理装置10的各部的动作通过控制器121来进行控制。
在本实施方式的基板处理工序(半导体装置的制造工序)中,通过执行以下的工序而在晶圆200上的基底膜上生成氮化钛膜:
将作为基底膜形成有氧化硅膜(SiO2)的晶圆200搬入处理室201的工序;
向形成有基底膜的晶圆200供给含卤素气体即六氟化钨(WF6)气体,将基底膜的键切断而结合含卤素气体中所含的卤素成分(氟成分),从而在晶圆200表面形成卤素末端的工序;
将包含氧成分及氢成分的含OH气体即水蒸气向晶圆200供给,使卤素成分脱离并使空出来的键与OH基结合,从而使晶圆200表面进行OH末端化的工序;
在OH末端化后的晶圆200表面上形成氮化钛膜的工序。
此外,在晶圆200表面形成卤素末端的工序、以及使晶圆200表面进行OH末端化的工序可以分别执行多次。另外,将在该晶圆200表面形成卤素末端的工序、以及使晶圆200表面进行OH末端化的工序一并称为氢氟酸处理工序。并且,将在OH末端化后的晶圆200表面上形成氮化钛膜的工序称为成膜处理。
在本公开中使用“晶圆”这一用语时包括指晶圆本身的情况、指“晶圆与在其表面形成的预定的层、膜等的层叠体”的情况。在本公开中使用“晶圆的表面”这一用语时包括指“晶圆本身的表面”的情况、指“在晶圆上形成的预定的层、膜等的表面”的情况。在本公开中使用“基板”这一用语时也与使用“晶圆”这一用语时是同样的含义。
(晶圆搬入)
将多张晶圆200向晶舟217进行装填(晶圆装入)时,如图9所示,对多张晶圆200进行支撑的晶舟217被晶舟升降机115提升而向处理室201内搬入(晶舟装载)。在该状态下,成为密封帽219经由O型环220b将反应管203的下端开口闭塞的状态。
(压力调整及温度调整)
处理室201内利用真空泵246进行真空排气以达到所需的压力(真空度)。此时,处理室201内的压力通过压力传感器245进行测定并基于该测定的压力信息对APC阀门243进行反馈控制(压力调整)。真空泵246至少在对晶圆200的处理完成之前始终维持工作状态。另外,处理室201内通过加热器207进行加热以达到所需的温度。此时,处理室201内为了达到所需的温度分布而基于温度传感器263所检出的温度信息来对向加热器207的通电量进行反馈控制(温度调整)。加热器207对处理室201内的加热至少在对晶圆200的处理完成之前持续进行。
A.氢氟酸处理工序
首先,通过氢氟酸处理工序在基底膜即氧化硅膜的表面生成数量密度高的OH末端。
A-1:[卤素末端工序](WF6气体供给)
打开阀门314,向气体供给管310内流通处理气体即WF6气体。WF6气体通过MFC312进行流量调整,并从喷嘴410的气体供给孔410a向处理室201内供给,且从排气管231排气。此时,向晶圆200供给WF6气体。与此并行地打开阀门514,向气体供给管510内流通N2气体等惰性气体。在气体供给管510内流通的N2气体通过MFC512进行流量调整,并与WF6气体一起向处理室201内供给,且从排气管231排气。此时,为了防止WF6气体向喷嘴420、430内的侵入,打开阀门524、534向气体供给管520、530内流通N2气体。N2气体经由气体供给管320、330、喷嘴420、430向处理室201内供给并从排气管231排气。
此时对APC阀门243进行调整,使处理室201内的压力为例如5~1000Pa的范围内的压力。利用MFC312进行控制的WF6气体的供给流量是例如5~500sccm的范围内的流量。通过MFC512、522、532进行控制的N2气体的供给流量分别是例如10~1000sccm的范围内的流量。此时,加热器207的温度设定为使晶圆200的温度为例如200~400℃的范围内的温度的温度。
此时,向处理室201内流通的气体是WF6气体和N2气体。通过WF6的供给而将晶圆200表面的键切断,并与WF6气体中所含的氟成分(F)结合而在晶圆200表面形成卤素末端。
形成有这种卤素末端的情形如图13(A)至(C)所示。图13(A)是表示利用WF6气体暴露前的形成有氧化硅膜的晶圆200表面的情形的模型图,图13(B)是表示刚供给WF6气体之后的晶圆200表面的状态的模型图,图13的(C)是表示利用WF6气体暴露后的晶圆200表面的情形的模型图。
并且,在从开始供给WF6气体起经过预定时间后,将气体供给管310的阀门314关闭而停止WF6气体的供给。
参照图13的(C)可知在利用WF6气体暴露后的晶圆200表面,氧化硅膜表面通过氟成分而成为末端(卤素末端)。
A-2:[第一净化工序](残留气体除去)
接下来,当WF6气体的供给停止后,进行使处理室201内的气体排气的净化处理。此时,排气管231的APC阀门243保持打开,利用真空泵246对处理室201内进行真空排气,将在理室201内残留的未反应的WF6气体或者使氧化硅膜表面成为卤素末端后的WF4气体从处理室201内排除。此时,阀门514、524保持打开,并持续向处理室201内供给N2气体。N2气体作为净化气体发挥作用,能够提高将在处理室201内残留的未反应的WF6气体或者WF4气体从处理室201内排除的效果。
(实施预定次数)
通过将按顺序进行上述的卤素末端工序及第一净化工序的循环执行一次以上(预定次数(n次)),从而使在晶圆200上形成的氧化硅膜表面成为卤素末端。
此外,在形成上述的卤素末端的工序中,交替地进行WF6气体的供给和排气。若WF6气体与基底膜即氧化硅膜发生反应而在晶圆200上滞留所产生的副产物(例如WF4),则有可能因为这些副产物而妨碍含OH气体到达晶圆200上。因此对这种副产物进行排气。由此防止副产物的妨害而形成连续的卤素末端。
A-3:[OH末端工序](H2O气体供给)
接下来,在将处理室201内的残留气体除去之后,开启阀门324而向气体供给管320内流通作为处理气体的H2O气体。H2O气体通过MFC322进行流量调整而从喷嘴420的气体供给孔420a向处理室201内供给,并从排气管231进行排气。此时向晶圆200供给H2O气体。与此并行地打开阀门524,向气体供给管520内流通N2气体。在气体供给管520内流通的N2气体通过MFC522进行流量调整。N2气体与H2O气体一起向处理室201内供给,并从排气管231排气。此时,为了防止H2O气体向喷嘴410、430内的侵入,打开阀门514、534向气体供给管510、530内流通N2气体。N2气体经由气体供给管310、330、喷嘴410、430向处理室201内供给,并从排气管231排气。
此时对APC阀门243进行调整而使处理室201内的压力为例如100~1000Pa的范围内的压力。通过MFC322控制的H2O气体的供给流量是例如10~500sccm的范围内的流量。通过MFC512、522、532控制的N2气体的供给流量分别是例如10~1000sccm的范围内的流量。将H2O气体向晶圆200供给的时间是例如5~1000秒的范围内的时间。此时加热器207的温度设定为使晶圆200的温度为例如200~400℃的范围内的温度的温度。
此时,向处理室201内流通的气体是H2O气体和N2气体。H2O气体使在卤素末端工序中使基底膜表面成为卤素末端的卤素成分脱离,并在空出来的键结合OH基而使晶圆200表面进行OH末端化。
形成这种OH末端的情形如图14(A)至(B)所示。图14(A)是表示刚刚供给H2O气体之后的晶圆200表面的状态的模型图,图14(B)是表示利用H2O气体的暴露后的晶圆200表面的情形的模型图。
并且,在从开始供给H2O气体起经过预定时间后,关闭气体供给管320的阀门324而停止H2O气体的供给。
若参照图14(B),则可知在利用H2O气体的暴露后的晶圆200表面使氧化硅膜表面以OH基为末端而进行了OH末端化。
A-4:[第二净化工序](残留气体除去)
接下来,当停止H2O气体的供给后,通过与上述的第一净化工序同样的处理步骤,来进行使处理室201内的气体排气的净化处理。此时,排气管231的APC阀门243保持打开,利用真空泵246对处理室201内进行真空排气,将在处理室201内残留的未反应的H2O气体或者因对卤素末端化的氧化硅膜进行OH末端化而产生的HF气体从处理室201内排除。另外,此时阀门514、524保持打开,维持N2气体向处理室201内的供给。N2气体作为净化气体发挥作用,能够提高将在处理室201内残留的各种气体从处理室201内排除的效果。
(实施预定次数)
通过将按顺进行上述的OH末端工序、第二净化工序的循环执行一次以上(预定次数(m次)),从而进行对卤素末端化的晶圆200表面进行OH末端化的处理。
此外,在形成上述的OH末端的工序中,交替地进行H2O气体的供给和排气。当H2O气体与卤素末端发生反应时,在氧化硅膜表面产生带正电的氢、和带负电的氟,但是分离的氢将要与氧化硅膜表面的氟结合。并且,当分离的氢与氧化硅膜表面的氟结合时,则会妨碍OH基与氧化硅膜的Si的结合。因此,通过对带正电的氢、带负电的氟进行排气而防止这种妨害,使得形成连续的OH末端。
此外,在卤素末端工序与OH末端工序之间存在上述的第一净化工序,因此在形成卤素末端的工序与进行OH末端化的工序之间存在对收容有晶圆200的处理室201的环境气体进行排气的工序。当含卤素气体即WF6和含OH气体即H2O气体同时存在于处理室201内时,则这些气体彼此在处理室201内发生反应,该反应所生成的副产物会在晶圆200上滞留,导致妨碍H2O气体到达晶圆200。另外,当副产物附着在晶圆200上时,在该副产物的成分与目标膜不同的情况下,对于所生成的膜而言则成为杂质。因此,通过在形成卤素末端的工序和进行OH末端化的工序之间设置排气工序,从而对所生成的副产物进行排气,而防止这种妨害。
另外,在因含卤素气体与含OH气体的反应而产生HF气体的情况下,会由于该HF气体而使排气管腐蚀。因此,在形成卤素末端的工序和进行OH末端化的工序之间设置排气工序,对所生成的副产物进行排气。通过对副产物进行排气,从而防止其造成的妨害。
B.成膜处理工序
接下来,通过氢氟酸处理工序在氧化硅膜的表面进行了OH末端化的晶圆200上生成氮化钛(TiN)膜。
B-1:[第一工序]
(TiCl4气体供给)
打开阀门314向气体供给管310内流通原料气体即TiCl4气体。TiCl4气体通过MFC312进行流量调整并从喷嘴410的气体供给孔410a向处理室201内供,且从排气管231排气。此时,向晶圆200供给TiCl4气体。与此并行地打开阀门514,向气体供给管510内流通N2气体等惰性气体。在气体供给管510内流通的N2气体通过MFC512进行流量调整,并与TiCl4气体一起向处理室201内供给,且从排气管231排气。此时,为了防止TiCl4气体向喷嘴420、430内的侵入,打开阀门524、534向气体供给管520、530内流通N2气体。N2气体经由气体供给管320、330、喷嘴420、430向处理室201内供给,并从排气管231排气。
此时对APC阀门243进行调整,使处理室201内的压力为例如10~1000Pa的范围内的压力、例如是50Pa。通过MFC312控制的TiCl4气体的供给流量是例如0.01~1slm的范围内的流量。通过MFC512、522、532控制的N2气体的供给流量分别是例如0.1~2slm的范围内的流量。将TiCl4气体向晶圆200供给的时间是例如0.1~60秒的范围内的时间。此时加热器207的温度设定为使晶圆200的温度为例如200~600℃的范围内的温度、例如是使成为250℃的温度。
此时向处理室201内流通的气体仅为TiCl4气体与N2气体。通过TiCl4气体的供给而在晶圆200(表面的基底膜)上形成含Ti层。含Ti层可以是含Cl的Ti层,也可以是TiCl4的吸附层,或者包含这两方。
B-2:[第二工序](残留气体除去)
在形成含Ti层之后,关闭阀门314而停止TiCl4气体的供给。
并且,将在处理室201内残留的未反应或者促进含Ti层的形成后的TiCl4气体、反应副产物从处理室201内排除。
B-3:[第三工序](NH3气体供给)
将处理室201内的残留气体除去后,打开阀门334向气体供给管330内流通作为反应气体的NH3气体。NH3气体通过MFC332进行流量调整,并从喷嘴430的气体供给孔430a向处理室201内供给,且从排气管231排气。此时向晶圆200供给NH3气体。与此并行地打开阀门534,向气体供给管530内流通N2气体。在气体供给管530内流通的N2气体通过MFC532进行流量调整。N2气体和NH3气体一起向处理室201内供给,并从排气管231排气。此时,为了防止NH3气体向喷嘴410、420内的侵入,打开阀门514、524向气体供给管510、520内流通N2气体。N2气体经由气体供给管310、320、喷嘴410、420向处理室201内供给,并从排气管231排气。
此时对APC阀门243进行调整,而使处理室201内的压力为例如10~2000Pa的范围内的压力、例如是50Pa。通过MFC332控制的NH3气体的供给流量是例如0.1~10slm的范围内的流量。通过MFC512、522、532控制的N2气体的供给流量分别为例如0.1~10slm的范围内的流量。将NH3气体向晶圆200供给的时间是例如10~200秒的范围内的时间。此时的加热器207的温度设定为与TiCl4气体供给步骤同样的温度。
此时在处理室201内流通的气体仅为NH3气体和N2气体。NH3气体与在第一工序中形成于晶圆200上的含Ti层的至少一部分发生置换反应。在置换反应时,含Ti层中所含的Ti与NH3气体中所含的N结合,在晶圆200上形成包含Ti和N的TiN层。
B-2:[第四工序](残留气体除去)
在形成TiN层后,关闭阀门334而停止NH3气体的供给。
并且,通过与上述的第二工序同样的处理步骤,将在处理室201内残留的未反应或者促进了TiN层的形成后的NH3气体、反应副产物从处理室201内排除。
(实施预定次数)
通过将按顺序进行上述的第一工序~第四工序的循环执行一次以上(预定次数(k次)),从而在晶圆200上形成预定的厚度(例如0.5~5.0nm)的TiN层。优选上述的循环重复进行多次。
(后净化及恢复大气压)
从气体供给管510、520、530分别将N2气体向处理室201内供给,并从排气管231排气。N2气体作为净化气体发挥作用,由此利用惰性气体对处理室201内进行净化,将在处理室201内残留的气体、副产物从处理室201内除去(后净化)。之后,处理室201内的环境气体置换为惰性气体(惰性气体置换)、处理室201内的压力恢复常压(恢复大气压)。
(晶圆搬出)
之后,通过晶舟升降机115使密封帽219下降,使反应管203的下端开口。并且,在处理完成的晶圆200被晶舟217支撑的状态下从反应管203的下端向反应管203的外部搬出(晶舟卸载)。之后,处理完成的晶圆200被从晶舟217取出(晶圆导出)。
(3)本公开的一实施方式的效果
在本实施方式中,首先利用WF6气体使基底膜表面成为卤素末端,之后利用水蒸气(H2O)使基底膜表面进行OH末端化。其理由是:就H2O单体而言,将基底膜表面的键切断的力较弱,因而基底膜表面与H2O发生反应而成为OH末端的激活能高,无法形成充分的密度的OH末端。因此,首先利用将基底膜表面的键切断的力较强的WF6气体使基底膜表面成为卤素末端。并且,卤素末端与H2O发生反应而置换为OH末端的反应的激活能低,能够容易地置换为OH末端。
其结果是,根据本实施方式,使形成薄膜前的基底膜表面进行OH末端化而生成数量密度高的吸附位点。因此,根据本实施方式,可提供能够形成具有均匀性高的薄膜的半导体装置的技术。
(4)实验例
接下来,对在形成有上述说明的OH末端的氧化硅膜上形成氮化钛(TiN)
膜的情况、和在没有形成OH末端的氧化硅膜上形成氮化钛膜的情况下生成的TiN膜的差异进行说明。此外,OH末端通过800℃退火处理而被除去的公知的。因此,将在氢氟酸处理后进行了800℃退火处理的晶圆作为没有形成OH末端的晶圆来进行比较。
此外,在氢氟酸处理后,基底膜即氧化硅膜表面如图8(A)所示,被成为吸附位点的OH基覆盖,但是在进行了800℃退火处理后,则如图8(B)
所示,可以认为几乎不存在OH基而成为吸附位点的是局部存在的缺陷部位(悬空键)。
对在这种氢氟酸处理后的氧化硅膜、和在氢氟酸处理后进一步进行了800℃退火处理后的氧化硅膜形成TiN膜的结果进行说明。此外,在本实验例中如在上述的实施方式中说明的那样作为Ti原料采用TiCl4、作为N原料采用NH3并以为温度250℃、压力50Pa进行膜厚2nm左右的TiN膜的成膜处理。
图15示出了在进行了800℃退火处理后形成TiN膜时的结果、即在吸附位点的数量密度低的基底膜上形成TiN膜时的TiN膜成膜后的热氧化膜表面的SEM(Scanning ElectronMicroscope:扫描电子显微镜)图像。在图15所示的SEM图像中可知,由于在成为吸附位点的OH基的数量密度低的状态下进行了氮化钛膜的形成处理,因此成为不连续的膜。
接下来,在图16中示出了在氢氟酸处理后成膜的TiN膜的电阻率、和在进行800℃退火处理后成膜的TiN膜的电阻率。与在氢氟酸处理后的被OH基覆盖的表面成膜的TiN膜相比,在800℃退火处理后的除去了OH基的表面成膜的TiN膜的电阻率更高。就在800℃退火处理后的除去了OH基的表面成膜的TiN膜而言,可以认为由于膜不连续而电阻率高。根据以上的结果可知通过使基底膜表面进行OH末端化而能够获得均匀且连续的薄膜。
[变形例]
此外,在上述实施方式中,作为含卤素气体对使用六氟化钨(WF6)气体的情况进行了说明,但是本公开并不限定于这种情况。作为含卤素气体,使用三氟化氯(ClF3)气体、三氟化氮(NF3)气体、氟化氢(HF)气体、氟(F2)气等的其它气体时也同样地能够适用本公开。
同样地在上述实施方式中,作为包含氧成分及氢成分的含OH气体对使用水蒸气(H2O)的情况进行了说明,但是本公开并不限定于这种情况。作为含OH气体,使用过氧化氢(H2O2)气体等其它气体时也同样地能够适用本公开。
另外,在上述实施方式中,作为基底膜对使氧化硅膜(SiO2)的表面进行OH末端化的情况进行了说明,但是本公开并不限定于这种情况。例如使硅膜(Si)、氮化硅膜(SiN)、氧化铝膜(AlO)、氧化铪膜(HfO)、氧化锆膜(ZrO)等的基底膜的表面进行OH末端化时也同样地能够适用本公开。
以上对本公开的各种典型的实施方式进行了说明,但是本公开并不限定于这些实施方式,也能够适宜组合使用。

Claims (18)

1.一种半导体装置的制造方法,其特征在于,具有:
(a)工序,向在表面形成有基底膜的基板供给含卤素气体而在所述基板表面形成卤素末端;以及
(b)工序,向所述基板供给包含氧成分及氢成分的含OH气体而对所述基板表面进行OH末端化。
2.根据权利要求1所述的半导体装置的制造方法,其特征在于,
在所述(a)工序与所述(b)工序之间具有对收容有所述基板的处理室的环境气体进行排气的工序。
3.据权利要求1所述的半导体装置的制造方法,其特征在于,
在所述(b)工序中交替地进行所述含OH气体的供给和排气。
4.根据权利要求1所述的半导体装置的制造方法,其特征在于,
在所述(a)工序中交替地进行所述含卤素气体的供给和排气。
5.根据权利要求2所述的半导体装置的制造方法,其特征在于,
在所述(a)工序中交替地进行所述含卤素气体的供给和排气。
6.根据权利要求3所述的半导体装置的制造方法,其特征在于,
在所述(a)工序中交替地进行所述含卤素气体的供给和排气。
7.权利要求1所述的半导体装置的制造方法,其特征在于,
所述(b)工序在所述(a)工序之后进行。
8.根据权利要求7所述的半导体装置的制造方法,其特征在于,
在所述(b)工序之后进行:
(c)工序,向所述基板供给原料气体;以及
(d)工序,向所述基板供给反应气体。
9.根据权利要求1所述的半导体装置的制造方法,其特征在于,
在所述(a)工序中,被供给了所述含卤素气体的所述基底膜具有不连续的吸附位点。
10.权利要求1所述的半导体装置的制造方法,其特征在于,
所述含卤素气体包含氟元素。
11.一种基板处理装置,其特征在于,具有:
处理室,其收容基板;
含卤素气体供给部,其向所述处理室供给含卤素气体;
含OH气体供给部,其向所述处理室供给包含氧成分及氢成分的含OH气体;以及
控制部,其构成为对所述含卤素气体供给部和所述含OH气体供给部进行控制来进行以下(a)处理及(b)处理:
(a)处理,向在表面形成有基底膜的基板供给含卤素气体而在所述基板表面形成卤素末端;
(b)处理,向所述基板供给含OH气体而使所述卤素成分脱离,并在空出来的键结合OH基而对所述基板表面进行OH末端化。
12.根据权利要求11所述的基板处理装置,其特征在于,
具有对所述处理室的环境气体进行排气的排气部,
所述控制部构成为在所述(b)处理中以交替地进行所述含OH气体的供给和排气的方式来控制所述含OH气体供给部和所述排气部。
13.根据权利要求11所述的基板处理装置,其特征在于,
具有对所述处理室的环境气体进行排气的排气部,
所述控制部构成为在所述(a)处理中以交替地进行所述含卤素气体的供给和排气的方式来控制所述含卤素气体供给部和所述排气部。
14.根据权利要求12所述的基板处理装置,其特征在于,
所述控制部构成为在所述(a)处理中以交替地进行所述含卤素气体的供给和排气的方式来控制所述含卤素气体供给部和所述排气部。
15.一种存储介质,其能够被计算机读取,所述存储介质的特征在于,
存储有利用计算机使基板处理装置执行以下步骤的程序,即:
(a)步骤,向收容有在表面形成有基底膜的基板的所述基板处理装置的处理室供给含卤素气体而在所述基板表面形成卤素末端;以及
(b)步骤,向所述基板供给包含氧成分及氢成分的含OH气体而对所述基板表面进行OH末端化。
16.根据权利要求15所述的存储介质,其特征在于,
在所述(b)步骤中交替地进行所述含OH气体的供给和排气。
17.根据权利要求15所述的存储介质,其特征在于,
在所述(a)步骤中交替地进行所述含卤素气体的供给和排气。
18.根据权利要求16所述的存储介质,其特征在于,
在所述(a)步骤中交替地进行所述含卤素气体的供给和排气。
CN201910140399.6A 2018-03-27 2019-02-22 半导体装置的制造方法、基板处理装置及存储介质 Withdrawn CN110310884A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-059822 2018-03-27
JP2018059822A JP2019175911A (ja) 2018-03-27 2018-03-27 半導体装置の製造方法、基板処理装置およびプログラム

Publications (1)

Publication Number Publication Date
CN110310884A true CN110310884A (zh) 2019-10-08

Family

ID=68057292

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910140399.6A Withdrawn CN110310884A (zh) 2018-03-27 2019-02-22 半导体装置的制造方法、基板处理装置及存储介质

Country Status (5)

Country Link
US (1) US20190304791A1 (zh)
JP (1) JP2019175911A (zh)
KR (1) KR102206178B1 (zh)
CN (1) CN110310884A (zh)
TW (1) TW201942981A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112164697A (zh) * 2020-09-28 2021-01-01 长江存储科技有限责任公司 一种半导体器件的制备方法、半导体结构

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102660213B1 (ko) * 2019-03-06 2024-04-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 프로그램, 기판 처리 장치 및 기판 처리 방법
KR102128328B1 (ko) 2019-09-16 2020-06-30 디씨에스이엔지 주식회사 파이프 가공 캐리지
JP2022124908A (ja) * 2021-02-16 2022-08-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511909A (ja) * 2000-10-10 2004-04-15 エーエスエム インターナショナル エヌ.ヴェー. 誘電体界面被膜およびその方法
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
WO2015136673A1 (ja) * 2014-03-13 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP2017005016A (ja) * 2015-06-05 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6775322B2 (ja) * 2015-09-25 2020-10-28 東京エレクトロン株式会社 TiON膜の成膜方法
JP6436887B2 (ja) 2015-09-30 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
JP6910118B2 (ja) * 2016-08-05 2021-07-28 東京エレクトロン株式会社 成膜方法および成膜システム、ならびに表面処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511909A (ja) * 2000-10-10 2004-04-15 エーエスエム インターナショナル エヌ.ヴェー. 誘電体界面被膜およびその方法
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
WO2015136673A1 (ja) * 2014-03-13 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP2017005016A (ja) * 2015-06-05 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112164697A (zh) * 2020-09-28 2021-01-01 长江存储科技有限责任公司 一种半导体器件的制备方法、半导体结构

Also Published As

Publication number Publication date
KR20190113548A (ko) 2019-10-08
KR102206178B1 (ko) 2021-01-22
TW201942981A (zh) 2019-11-01
US20190304791A1 (en) 2019-10-03
JP2019175911A (ja) 2019-10-10

Similar Documents

Publication Publication Date Title
CN110310884A (zh) 半导体装置的制造方法、基板处理装置及存储介质
US10910217B2 (en) Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus
US9972500B2 (en) Method of manufacturing semiconductor device
JP6980106B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US8937022B2 (en) Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
TWI443747B (zh) 半導體裝置製造方法以及基板處理方法及設備
JP4987812B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6124477B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
US9773661B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5726281B1 (ja) 基板処理装置及び半導体装置の製造方法
JP6147480B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US11685993B2 (en) Method of cleaning reaction tube, method of manufacturing semiconductor device, and substrate processing apparatus
JP2023001165A (ja) 半導体製造装置
KR102638452B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
US20220157628A1 (en) Substrate processing apparatus, substrate suppport and method of manufacturing semiconductor device
JP2016106415A (ja) 基板処理装置および半導体装置の製造方法
KR20210117950A (ko) 기화 장치, 기판 처리 장치, 클리닝 방법 및 반도체 장치의 제조 방법
US20230294145A1 (en) Gas cleaning method, method of processing substrate, method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
JP2008297605A (ja) 半導体装置の製造方法及び基板処理装置
US20190304797A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP2016065287A (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
KR20210044849A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US20230100736A1 (en) Substrate processing method and substrate processing apparatus
US20220254629A1 (en) Deposition method
JP2022019778A (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WW01 Invention patent application withdrawn after publication

Application publication date: 20191008

WW01 Invention patent application withdrawn after publication