CN110246804A - 接触结构 - Google Patents

接触结构 Download PDF

Info

Publication number
CN110246804A
CN110246804A CN201910112883.8A CN201910112883A CN110246804A CN 110246804 A CN110246804 A CN 110246804A CN 201910112883 A CN201910112883 A CN 201910112883A CN 110246804 A CN110246804 A CN 110246804A
Authority
CN
China
Prior art keywords
source
gate structures
drain
gate structure
method described
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910112883.8A
Other languages
English (en)
Other versions
CN110246804B (zh
Inventor
朴灿鲁
蔡东辰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lattice Core Usa Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN110246804A publication Critical patent/CN110246804A/zh
Application granted granted Critical
Publication of CN110246804B publication Critical patent/CN110246804B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及接触结构。本公开涉及半导体结构,并且更特别地,涉及接触结构以及制造方法。所述方法包括:使位于邻近栅极结构之间并且位于源极/漏极金属化的金属化覆盖层下方的隔离区凹陷;将所述金属化覆盖层平面化至所述邻近栅极结构的水平;以及形成在所述邻近栅极结构的侧面上并且在所述邻近栅极结构上方延伸的到所述源极/漏极金属化的源极/漏极接触。

Description

接触结构
技术领域
本公开涉及半导体结构,并且更特别地,涉及接触结构以及制造方法。
背景技术
中段制程(MOL)工艺是器件产量的一个关键的决定因素。MOL工艺包括例如钴金属化和源极/漏极接触形成。
然而,随着技术向下缩小,钴金属化和源极/漏极接触形成工艺提出了若干挑战。例如,由于难以控制抛光工艺,例如,化学机械抛光(CMP),钴金属化提出了重大挑战。具体地,用于钴材料的CMP工艺不具有高选择性,这导致不良的工艺控制。这种不良的工艺控制进而导致保护栅极材料的牺牲帽盖材料的局部变薄(例如,缺乏均匀性)。不良的工艺控制还可导致牺牲帽盖材料的穿通,落在栅极材料上。这将暴露栅极材料,导致随后形成的源极/漏极接触短路。
发明内容
在本公开的方面,一种方法包括:使位于邻近栅极结构之间并且位于源极/漏极金属化的金属化覆盖层下方的隔离区凹陷;将所述金属化覆盖层平面化至所述邻近栅极结构的水平;以及形成在所述邻近栅极结构的侧面上并且在所述邻近栅极结构上方延伸的到所述源极/漏极金属化的源极/漏极接触。
在本公开的方面,一种方法包括:去除位于栅极结构的表面上方和位于源极/漏极金属化特征的钴覆盖层内的电介质材料;在所述去除所述电介质材料之后,将所述钴覆盖层平面化至所述栅极结构的帽盖材料;在所述帽盖材料和所述源极/漏极金属化特征上沉积层间电介质材料;以及在所述层间电介质材料内并在所述栅极结构的侧面上形成在所述栅极结构上方延伸的到所述源极/漏极金属化特征的源极/漏极接触。
在本公开的方面,一种结构包括:多个栅极结构,所述多个栅极结构中的每一个包括帽盖材料;与所述多个栅极结构邻近的源极和漏极区;位于所述多个栅极结构之间以及延伸到所述源极和漏极区并且与所述源极和漏极区电接触的钴接触;位于所述多个栅极结构的邻近栅极结构之间的隔离材料;位于所述邻近栅极结构的所述帽盖材料中的台阶特征;位于所述台阶特征中且位于所述多个栅极结构的所述帽盖材料上方的电介质材料;以及位于所述电介质材料中且接触钴接触的源极和漏极接触。
附图说明
通过本公开的示例性实施例的非限制性实例并参考所述多个附图,在以下详细描述中描述本公开。
图1示出了根据本公开的方面的除了其他特征之外的具有栅极结构的输入结构以及相应的制造工艺。
图2示出了根据本公开的方面的除了其他特征之外的栅极结构之间的凹部以及相应的制造工艺。
图3示出了根据本公开的方面的除了其他特征之外的平面化的栅极结构和接触材料以及相应的制造工艺。
图4示出了根据本公开的方面的除了其他特征之外的位于栅极结构之上的层间电介质材料以及相应的制造工艺。
图5示出了根据本公开的方面的除了其他特征之外的源极和漏极接触以及相应的制造工艺。
具体实施方式
本公开涉及半导体结构,更特别地,涉及接触结构以及制造方法。更具体地,本公开提供了一种对Co具有选择性地去除层间电介质材料并随后通过化学机械抛光(CMP)去除Co覆盖层(overburden)的方法。有利地,去除Co覆盖层的方法将导致最小的帽盖侵蚀。
本公开的接触可以使用多种不同的工具以多种方式来制造。一般而言,方法和工具被用于形成具有微米和纳米尺寸的结构。已从集成电路(IC)技术中采用了用于制造本公开的接触结构的方法,即,技术。例如,该结构可以建立在晶片上,并且以通过光刻工艺被图案化的材料膜来实现。特别地,接触结构的制造使用三个基本构建块:(i)将薄膜材料沉积在衬底上,(ii)通过光刻成像在膜的顶部施加图案化的掩模,以及(iii)选择性地将膜蚀刻到掩模。
图1示出了根据本公开的方面的除了其他特征之外的具有栅极结构的输入结构。更具体地,输入结构10包括形成在下方的衬底12上的多个栅极结构14。在实施例中,衬底12可以是任何合适的半导体材料,包括但不限于Si、SiGe、SiGeC、SiC、GaAs、InAs、InP和其他III/V或II/VI化合物半导体。衬底12可以代表平面结构或由常规侧壁成像技术(SIT)形成的鳍结构,使得在此不需要进一步解释来理解本公开。此外,衬底12可以代表用于平面或finFET技术的例如Si的体材料或绝缘体上半导体(SOI)技术。另外,多个栅极结构14可以通过常规的替代栅极工艺形成,使得在此不需要进一步说明以理解本公开。
仍然参考图1,多个栅极结构14可以包括由例如SiN材料构成的侧壁隔离物14a。栅极结构14还包括位于侧壁隔离物14a上和位于沟槽(通过去除虚设栅极结构形成)的底部上的高k栅极电介质材料14b。在实施例中,作为示例,高k电介质栅极材料14b可以是基于铪的电介质。在另外的实施例中,这种高k电介质的示例包括但不限于:Al2O3、Ta2O3、TiO2、La2O3、SrTiO3、LaAlO3、ZrO2、Y2O3、Gd2O3以及包括其的多层的组合。
例如掺杂的多晶硅的栅极材料14c沉积在高k电介质栅极材料14b之上。另外,栅极结构14可以被牺牲帽盖材料14d覆盖。在实施例中,牺牲帽盖材料14d可以是SiN材料,其被沉积以在随后的源极/漏极接触制造工艺期间保护下方的栅极材料14c。源极/漏极区16形成在栅极结构14的侧面上。在实施例中,源极/漏极区16可以是升高的外延材料,例如掺杂有杂质的半导体材料,诸如Si或SiGe。
源极/漏极区16可以经历用于接触形成的硅化工艺。如本领域技术人员应理解的,硅化物工艺开始于在完全形成和图案化的半导体器件(例如,掺杂或离子注入的源极和漏极区16)之上沉积薄的过渡金属层(例如,镍、钴或钛)。在沉积材料之后,加热该结构,允许过渡金属与半导体器件的有源区(例如,源极、漏极、栅极接触区)中暴露的硅(或如本文所述的其他半导体材料)反应,形成低电阻过渡金属硅化物。在反应之后,通过化学蚀刻去除任何剩余的过渡金属,在器件的有源区中留下硅化物接触。本领域技术人员应该理解,当栅极结构由金属材料构成时,器件上不需要硅化物接触。
图1还示出了在栅极结构14和源极/漏极区16上形成的衬里18。在实施例中,衬里18是TiN衬里,其可以通过等离子体增强气相沉积(PEVD)工艺沉积。衬里18将被沉积在侧壁隔离物14a、牺牲帽盖材料14d以及源极和漏极区16之上。多个栅极结构14之间的剩余空间可以用金属接触材料22填充。例如,金属接触材料22可以是钴(Co),其通过例如化学气相沉积(CVD)工艺的常规沉积工艺沉积。在实施例中,如图1所示,沉积工艺将导致金属接触材料22的覆盖层22’,例如栅极结构14上方的金属材料。
隔离区域(层间电介质材料)20形成在两个邻近的栅极结构14’之间(延伸到栅极结构14上方的覆盖层22’中)。在实施例中,邻近栅极结构14’可以是虚设栅极结构。隔离区20可以包括例如在替代栅极结构14、14’之前形成的浅沟槽隔离区20a。隔离区20可以由氧化物材料构成,其中衬里20b例如由SiN构成。
在实施例中,隔离区20可以通过本领域技术人员已知的常规光刻、蚀刻和沉积方法形成。例如,在金属接触材料22之上形成的抗蚀剂暴露于能量(光)以形成图案(开口)。例如反应离子蚀刻(RIE)的具有选择性化学(chemistry)的蚀刻工艺将用于通过抗蚀剂的开口在金属接触材料22中形成一个或多个沟槽。然后,抗蚀剂可以通过常规的氧灰化工艺或其他已知的剥离剂被去除。在去除抗蚀剂之后,衬里20b和绝缘体材料可以通过例如化学气相沉积(CVD)工艺的任何常规的沉积工艺沉积。金属接触材料22表面上的任何残余材料可以通过常规化学机械抛光(CMP)工艺去除。
在图2中,隔离区20的部分通过选择性蚀刻工艺被去除(被凹陷)。更具体地,选择性蚀刻工艺可以是低或零偏置的CF4、CHF3、CH2F2、CH3F等离子体或具有上述气体的混合物的等离子体,其选择性地蚀刻隔离区20的材料或使隔离区20的材料凹陷,以形成凹部24,该隔离区20的材料例如SiO2和SiN材料。在实施例中,蚀刻工艺还将去除牺牲帽盖材料14d的部分,这有助于凹部24。在实施例中,凹部24位于牺牲帽盖材料14d的表面下方,例如,大约在5nm至约30nm的范围内。然而,应该注意,选择性蚀刻工艺将不会暴露下方栅极材料14c的任何部分。
如图3中进一步所示,金属接触材料22和衬里18经历抛光工艺,其在牺牲帽盖材料14d上停止。在更具体的实施例中,金属接触材料22和衬里18经历选择性CMP工艺,其不会侵蚀牺牲帽盖材料14d。以这种方式,牺牲帽盖材料14d将保留在栅极材料14c之上,防止其被暴露并防止其在随后的源极/漏极收缩(contract)制造工艺中导致短路。
在图4中,层间电介质层20’沉积在牺牲帽盖材料14d之上和凹部24的剩余部分之内。在实施例中,层间电介质层20’是通过常规沉积方法沉积例如SiO2的氧化物材料。例如,层间电介质层20’可以通过CVD工艺沉积。如图4所示,凹部内的层间电介质层20’形成与邻近栅极结构14’的台阶特征24’。
图5示出了层间电介质层20’中的源极和漏极接触26,其延伸到金属接触材料22(例如,Co)并与之接触。在实施例中,源极和漏极接触26可以是被加衬有TiN、Ta、TaN等的任何适当的材料,该材料包括例如钨或铝。源极和漏极接触26可以通过常规的光刻、蚀刻、沉积和平坦化工艺形成。例如,在层间电介质层20’上形成的抗蚀剂暴露于能量(光)以形成图案(开口),该图案(开口)与源极/漏极区16之上的金属接触材料22对准并暴露该金属接触材料22。例如RIE的具有选择性化学的蚀刻工艺将用于通过抗蚀剂的开口在层间电介质层20’中形成一个或多个沟槽。然后,抗蚀剂可以通过常规的氧灰化工艺或其他已知的剥离剂去除。在去除抗蚀剂之后,可以通过例如CVD工艺的任何常规的沉积工艺沉积导电材料。层间电介质层20’表面上的任何残余材料可通过常规化学机械抛光(CMP)工艺去除。
如上所述的方法用在集成电路芯片的制造中。所得到的集成电路芯片可以由制造商以作为裸芯片的原始晶片形式(即,作为具有多个未封装芯片的单个晶片)或者以封装形式分发。在后一种情况下,芯片被安装在单芯片封装(诸如塑料载体中,其引线固定到母板或其他更高级别的载体)或多芯片封装(诸如陶瓷载体中,其具有表面互连和/或掩埋互连中的一者或两者)中。在任何情况下,芯片然后与其他芯片、分立电路元件和/或其他信号处理设备集成,作为(a)中间产品(诸如母板)或者(b)最终产品的一部分。最终产品可以是包括集成电路芯片的任何产品,从玩具和其他低端应用,到具有显示器、键盘或其他输入设备以及中央处理器的高级计算机产品。
本公开的各种实施例的描述已为了示例的目的而给出,但并非旨在是穷举性的或限于所公开的实施例。在不脱离所描述的实施例的范围和精神的情况下,许多修改和变化对于本领域普通技术人员将是显而易见的。本文中所用术语的被选择以旨在最好地解释实施例的原理、实际应用或对市场中发现的技术的技术改进,或者使本技术领域的其他普通技术人员能理解本文公开的实施例。

Claims (20)

1.一种方法,包括:
使位于邻近栅极结构之间并且位于源极/漏极金属化的金属化覆盖层下方的隔离区凹陷;
将所述金属化覆盖层平面化至所述邻近栅极结构的水平;以及
形成在所述邻近栅极结构的侧面上并且在所述邻近栅极结构上方延伸的到所述源极/漏极金属化的源极/漏极接触。
2.根据权利要求1所述的方法,其中所述隔离区的所述凹陷是选择性蚀刻工艺,其不侵蚀所述源极/漏极金属化的所述金属化覆盖层。
3.根据权利要求2所述的方法,其中所述金属化覆盖层是钴。
4.根据权利要求3所述的方法,其中所述选择性蚀刻是选择性地将所述隔离区蚀刻到所述钴的低或零偏置CF4、CHF3、CH2F2、CH3F等离子体或具有上述气体的混合物的等离子体。
5.根据权利要求2所述的方法,其中所述凹陷部分地去除所述邻近栅极结构的帽盖材料。
6.根据权利要求1所述的方法,其中所述平面化是钴覆盖层的化学机械抛光(CMP),其在所述邻近栅极结构和其他栅极结构上的帽盖材料上停止。
7.根据权利要求6所述的方法,其中所述CMP对所述帽盖材料是选择性的。
8.根据权利要求7所述的方法,其中所述帽盖材料是保护下方的栅极材料的氮化物材料。
9.根据权利要求7所述的方法,其中所述源极/漏极接触的所述形成包括:
在所述凹部中并且在所述邻近栅极结构和所述其他栅极结构上方沉积电介质材料;
在所述电介质材料中形成开口,以暴露位于所述邻近栅极结构和所述其他栅极结构之间的所述源极/漏极金属化;以及
在所述开口内沉积接触所述源极/漏极金属化的金属材料。
10.一种方法,包括:
去除位于栅极结构的表面上方和位于源极/漏极金属化特征的钴覆盖层内的电介质材料;
在所述去除所述电介质材料之后,将所述钴覆盖层平面化至所述栅极结构的帽盖材料;
在所述帽盖材料和所述源极/漏极金属化特征上沉积层间电介质材料;以及
在所述层间电介质材料内并在所述栅极结构的侧面上形成在所述栅极结构上方延伸的到所述源极/漏极金属化特征的源极/漏极接触。
11.根据权利要求10所述的方法,其中所述电介质材料的所述去除是选择性蚀刻工艺。
12.根据权利要求11所述的方法,其中所述选择性蚀刻工艺是选择性地将所述电介质材料蚀刻到所述栅极结构的所述帽盖材料的表面下方的低或零偏置CF4、CHF3、CH2F2、CH3F等离子体或具有上述气体的混合物的等离子体。
13.根据权利要求10所述的方法,其中所述平面化是所述钴覆盖层的化学机械抛光(CMP),其在所述栅极结构的所述帽盖材料上停止。
14.根据权利要求13所述的方法,其中所述CMP对所述帽盖材料是选择性的。
15.根据权利要求13所述的方法,其中所述帽盖材料是保护下方的栅极材料的氮化物材料。
16.根据权利要求10所述的方法,其中所述层间电介质材料的所述沉积是在所述帽盖材料中形成的台阶特征内的沉积。
17.根据权利要求16所述的方法,其中所述台阶特征是通过在所述电介质材料的所述去除期间使邻近栅极结构之间的所述帽盖材料的部分凹陷来形成。
18.根据权利要求17所述的方法,其中所述凹陷在所述帽盖材料的顶部下方约5nm至约30nm。
19.根据权利要求10所述的方法,其中所述电介质材料是氧化物材料,其被选择性地去除到钴材料。
20.一种结构,包括:
多个栅极结构,所述多个栅极结构中的每一个包括帽盖材料;
与所述多个栅极结构邻近的源极和漏极区;
位于所述多个栅极结构之间以及延伸到所述源极和漏极区并且与所述源极和漏极区电接触的钴接触;
位于所述多个栅极结构的邻近栅极结构之间的隔离材料;
位于所述邻近栅极结构的所述帽盖材料中的台阶特征;
位于所述台阶特征中且位于所述多个栅极结构的所述帽盖材料上方的电介质材料;以及
位于所述电介质材料中且接触钴接触的源极和漏极接触。
CN201910112883.8A 2018-03-07 2019-02-13 接触结构 Active CN110246804B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/914,547 US10593599B2 (en) 2018-03-07 2018-03-07 Contact structures
US15/914547 2018-03-07

Publications (2)

Publication Number Publication Date
CN110246804A true CN110246804A (zh) 2019-09-17
CN110246804B CN110246804B (zh) 2024-03-12

Family

ID=67701858

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910112883.8A Active CN110246804B (zh) 2018-03-07 2019-02-13 接触结构

Country Status (4)

Country Link
US (2) US10593599B2 (zh)
CN (1) CN110246804B (zh)
DE (1) DE102019200831A1 (zh)
TW (1) TWI688991B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112582462A (zh) * 2019-09-27 2021-03-30 格芯美国公司 空气间隔物结构

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462612B2 (en) * 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293689A (ja) * 1996-04-26 1997-11-11 Sony Corp 接続孔の形成方法
KR20010064819A (ko) * 1999-12-20 2001-07-11 박종섭 반도체장치의 콘택 형성방법
KR20040042184A (ko) * 2002-11-13 2004-05-20 삼성전자주식회사 자기정렬 콘텍홀을 갖는 반도체소자의 형성방법
CN102148236A (zh) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 半导体元件及其制造方法
US20120261727A1 (en) * 2010-08-20 2012-10-18 Huicai Zhong Semiconductor device and method for manufacturing local interconnect structure thereof
US20150235897A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse Tone Self-Aligned Contact
CN104867967A (zh) * 2014-02-26 2015-08-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US20150364378A1 (en) * 2014-06-11 2015-12-17 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US20170047253A1 (en) * 2015-08-10 2017-02-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
US20170194211A1 (en) * 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
TW201735175A (zh) * 2016-03-24 2017-10-01 Tokyo Electron Ltd 半導體裝置之製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6743683B2 (en) 2001-12-04 2004-06-01 Intel Corporation Polysilicon opening polish
KR100467023B1 (ko) * 2002-10-31 2005-01-24 삼성전자주식회사 자기 정렬 접촉 구조 및 그 형성 방법
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101824537B1 (ko) * 2010-10-01 2018-03-15 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 유기 발광 디스플레이
US9006804B2 (en) * 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9601619B2 (en) * 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile
US10861748B2 (en) * 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
CN104681557B (zh) * 2013-11-28 2018-02-06 中国科学院微电子研究所 半导体装置及其制造方法
US9406676B2 (en) * 2014-12-29 2016-08-02 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
KR102323943B1 (ko) * 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9412616B1 (en) * 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102549331B1 (ko) * 2016-11-14 2023-06-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10204994B2 (en) * 2017-04-03 2019-02-12 Globalfoundries Inc. Methods of forming a semiconductor device with a gate contact positioned above the active region
US10510601B2 (en) * 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing metal plug corrosion and device
US10707133B2 (en) * 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
KR102559270B1 (ko) * 2018-07-31 2023-07-24 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293689A (ja) * 1996-04-26 1997-11-11 Sony Corp 接続孔の形成方法
KR20010064819A (ko) * 1999-12-20 2001-07-11 박종섭 반도체장치의 콘택 형성방법
KR20040042184A (ko) * 2002-11-13 2004-05-20 삼성전자주식회사 자기정렬 콘텍홀을 갖는 반도체소자의 형성방법
CN102148236A (zh) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 半导体元件及其制造方法
US20120261727A1 (en) * 2010-08-20 2012-10-18 Huicai Zhong Semiconductor device and method for manufacturing local interconnect structure thereof
US20150235897A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse Tone Self-Aligned Contact
CN104867967A (zh) * 2014-02-26 2015-08-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US20150364378A1 (en) * 2014-06-11 2015-12-17 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US20170047253A1 (en) * 2015-08-10 2017-02-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
US20170194211A1 (en) * 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
TW201735175A (zh) * 2016-03-24 2017-10-01 Tokyo Electron Ltd 半導體裝置之製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112582462A (zh) * 2019-09-27 2021-03-30 格芯美国公司 空气间隔物结构

Also Published As

Publication number Publication date
DE102019200831A1 (de) 2019-09-12
US20200176325A1 (en) 2020-06-04
TW201939583A (zh) 2019-10-01
CN110246804B (zh) 2024-03-12
US10593599B2 (en) 2020-03-17
TWI688991B (zh) 2020-03-21
US11257718B2 (en) 2022-02-22
US20190279910A1 (en) 2019-09-12

Similar Documents

Publication Publication Date Title
US11282750B2 (en) Contact structure and method of fabricating the same
TWI712142B (zh) 中段連線結構
TWI714273B (zh) 比例化閘極接觸與源極/汲極蓋
CN110047829A (zh) 中段制程结构
US11437286B2 (en) Middle of line structures
CN106653848A (zh) 半导体器件结构的结构和形成方法
CN110459603A (zh) 倒角的替代栅极结构
US10998227B2 (en) Metal insulator metal capacitor with extended capacitor plates
CN110246804A (zh) 接触结构
US10832961B1 (en) Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
TWI729283B (zh) 接觸結構
US20200312977A1 (en) Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
US10312150B1 (en) Protected trench isolation for fin-type field-effect transistors
US11721728B2 (en) Self-aligned contact
US10756184B2 (en) Faceted epitaxial source/drain regions
US20180350607A1 (en) Semiconductor structure
US20240162319A1 (en) Spacer cut for asymmetric source/drain epitaxial structure in stacked fet
US10460986B2 (en) Cap structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20201202

Address after: California, USA

Applicant after: Lattice core USA Inc.

Address before: Grand Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

GR01 Patent grant
GR01 Patent grant