TWI688991B - 接觸結構 - Google Patents

接觸結構 Download PDF

Info

Publication number
TWI688991B
TWI688991B TW108104074A TW108104074A TWI688991B TW I688991 B TWI688991 B TW I688991B TW 108104074 A TW108104074 A TW 108104074A TW 108104074 A TW108104074 A TW 108104074A TW I688991 B TWI688991 B TW I688991B
Authority
TW
Taiwan
Prior art keywords
patent application
item
source
gate structures
drain
Prior art date
Application number
TW108104074A
Other languages
English (en)
Other versions
TW201939583A (zh
Inventor
朴燦魯
蔡東辰
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201939583A publication Critical patent/TW201939583A/zh
Application granted granted Critical
Publication of TWI688991B publication Critical patent/TWI688991B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明係關於半導體結構,尤其關於接觸結構及其製造方法。該方法包括:使相鄰閘極結構之間的一隔離區域形成凹陷,並低於源極/汲極金屬化的金屬化超覆層(overburden);使該金屬化超覆層平坦化至該等相鄰閘極結構之高度;及在該等相鄰閘極結構的側面上形成該源極/汲極金屬化的源極/汲極接點,並延伸至該等結構上方。

Description

接觸結構
本發明係關於半導體結構,尤其關於接觸結構及其製造方法。
中段製程(MOL,middle of line)是決定元件良率的一關鍵因素。該MOL製程包括例如鈷金屬化和源極/汲極接點形成。
然而,隨著技術往小尺寸發展,這對鈷金屬化和源極/漏極接點形成製程帶來了若干挑戰。例如,由於難以控制拋光製程,例如化學機械拋光(CMP,chemical mechanical polishing),這對鈷金屬化帶來了重大挑戰。尤其是,用於鈷材料的CMP製程選擇性並不高,造成製程控制不良。這種製程控制不良接著導致保護該閘極材料的犧牲披覆材料局部變薄(例如缺乏均勻性)。該製程控制不良也導致該閘極材料連接區(Landing)的犧牲披覆材料穿透。這將暴露該閘極材料,導致與後續形成的源極/汲極接點短路。
在本發明的態樣中,一種方法包括:使相鄰閘極結構之間的一隔離區域形成凹陷,並低於源極/汲極金屬化的金屬化超覆層;使該金屬化超覆層平坦化至該等相鄰閘極結構之高度;及在該等相鄰閘極結構的側面上形成該源極/汲極金屬化的源極/汲極接點,並延伸至該等結構上方。
在本發明的態樣中,一種方法包括:移除閘極結構表面上方及源極/汲極金屬化特徵件的鈷超覆層內的介電材料;在移除該介電材料之後,使該鈷超覆層平坦化至該等閘極結構的一披覆材料;在該披覆材料與該源極/汲極金屬化特徵件上沉積層間介電材料;及在該等閘極結構上方一延伸的側面上,該層間介電材料內形成該源極/汲極金屬化特徵件的源極/汲極接點。
在本發明的態樣中,一種結構包括:複數個閘極結構,其每一者包括一披覆材料;源極與汲極區,其相鄰於該等複數個閘極結構;鈷接點,其介於該等複數個閘極結構之間並延伸至該等源極與汲極區域並與之電接觸;一隔離材料,其介於該等複數個閘極結構的相鄰閘極結構之間;一階梯特徵件,其位於該等相鄰閘極結構的該披覆材料內;介電材料,其位於該階梯特徵件內及該等複數個閘極結構的該披覆材料上方;及源極與汲極接點,其位於該介電材料內並接觸該等鈷接點。
10‧‧‧輸入結構
12‧‧‧基材
14‧‧‧閘極結構
14'‧‧‧相鄰閘極結構
14a‧‧‧側壁空間層
14b‧‧‧高k閘極介電材料
14c‧‧‧閘極材料
14d‧‧‧犧牲披覆材料
16‧‧‧源極/汲極區域
18‧‧‧襯墊層
20‧‧‧隔離區域
20'‧‧‧層間介電層
20a‧‧‧淺溝渠隔離區域
20b‧‧‧襯墊層
22‧‧‧金屬接點材料
22'‧‧‧超覆層
24‧‧‧凹槽
24'‧‧‧階梯特徵件
26‧‧‧源極與汲極接點
後面將利用本發明示範具體實施例的非限制範例、連同參考所示多個圖式,在實施方式中詳細描述本發明。
圖1顯示根據本發明態樣的其他特徵件之間使用閘極結構的一新型結構、及個別製程。
圖2顯示根據本發明態樣的其他特徵之間的閘極結構之間的一凹槽、及個別製程。
圖3顯示根據本發明態樣的其他特徵之間的平坦化閘極結構和接點材料、及個別製程。
圖4顯示根據本發明態樣的其他特徵之間的該等閘極結構上的一層間介電材料、及個別製程。
圖5顯示根據本發明態樣的其他特徵之間的源極與汲極接 點、及個別製程。
本發明係關於半導體結構,尤其關於接觸結構及其製造方法。尤其是,本發明提供一種選擇性移除Co的層間介電材料並且後續通過化學機械拋光(CMP)移除該Co超覆層的方法。有利地,去除Co超覆層的方法將導致最小的披覆層腐蝕。
本發明的該等接觸結構可用許多不同工具以許多方式來製造。一般來說,該等方法與工具用來形成毫米與奈米等級尺寸的結構。用來製造本發明接觸結構的該等方法(即技術)採用積體電路(IC)技術。例如,這些結構建立在晶圓上,並且通過在晶圓頂部上以光微影蝕刻製程所圖案化的材料薄膜來實現。尤其是,該等接觸結構的製造使用三種基本步驟:(i)將材料薄膜沈積在基材上,(ii)利用光微影蝕刻成像將一圖案化光罩應用於該等薄膜頂端上,及(iii)選擇性蝕刻該光罩的該等薄膜。
圖1顯示根據本發明態樣的其他特徵之間使用閘極結構的一新型結構。尤其是,新型結構10包括形成於一底下基材12上的複數個閘極結構14。在具體實施例中,基材12可由任何合適的半導體材料形成,包含但不受限於Si、SiGe、SiGeC、SiC、GaAs、InAs、InP、及其他III/V或II/VI族複合半導體。基材12可為由傳統側壁成像技術(SIT,sidewall imaging techniques)所形成平片式結構或鰭式結構的呈現,如此在了解本發明時不需進一步解釋。另外,基材12可為用於平片式或鰭式FET技術的塊狀材料之呈現,例如Si或絕緣體上半導體(SOI,semiconductor on insulator)技術。此外,複數個閘極結構14可由傳統置換閘極製程所形成,如此在了解本發明時不需進一步解釋。
請即重新參考圖1,複數個閘極結構14可包括由例如SiN材料構成的側壁空間層14a。閘極結構14進一步包括側壁空間層14a及溝 渠(由移除偽閘極結構所形成)底部上的一高k閘極介電材料14b。在具體實施例中,高k閘極介電材料14b可為例如鉿基介電質。在進一步具體實施例中,這種高k介電質的範例包括但不受限於:Al2O3、Ta2O3、TiO2、La2O3、SrTiO3、LaAlO3、ZrO2、Y2O3、Gd2O3、及包括其多層的組合。
一閘極材料(例如摻雜矽)沉積在高k閘極介電材料14b上。此外,閘極結構14可用一犧牲披覆材料14d覆蓋。在具體實施例中,犧牲披覆材料14d可為SiN材料,在後續源極/汲極接點製程期間沉積以保護底下閘極材料14c。源極/汲極區域16形成於閘極結構14的側面上。在具體實施例中,源極/汲極區域16可為凸起的磊晶材料,例如摻雜有雜質的半導體材料,像是Si或SiGe。
源極/汲極區域16可經歷一矽化製程來形成接點。熟習該項技藝者應該了解,該矽化製程始於在完全成形並且圖案化的半導體元件上(例如摻雜或離子植入的源極與汲極區域16)沉積一薄過渡金屬層,例如鎳、鈷或鈦。在沉積該材料之後,將該結構加熱,允許該過渡金屬與該半導體裝置的主動區域(例如源極、汲極、閘極接點區域)內暴露的矽(或如本說明書所描述的其他半導體材料)起反應,以形成一低阻抗過渡金屬矽化物。在反應之後,通過化學蝕刻除去任何殘留的過渡金屬,在該裝置的主動區域中留下矽化物接點。熟習該項技藝者應該了解,當一閘極結構由金屬材料構成時,該元件上將不需要矽化物接點。
圖1進一步顯示形成於閘極結構14和源極/汲極區域16上的一襯墊層18。在具體實施例中,襯墊層18為一可通過電漿增強氣相沉積(PEVD,Plasma Enhanced Vapor Deposition)製程所沉積的TiN襯墊。襯墊層18將沉積在側壁空間層14a、犧牲披覆材料14d和源極與汲極區域16上。複數個閘極結構14之間的殘留空間可填入金屬接點材料22。例如,金屬接點材料22可為通過傳統沉積製程所沉積的鈷(Co),例如化學氣相沉積(CVD)製程。在具體實施例中,如圖1所示,該沉積製程將導致金屬接點材料22 的超覆層22',例如閘極結構14上的金屬材料。
一隔離區域(層間介電材料)20形成於兩相鄰閘極結構14'之間(延伸入閘極結構14上方的超覆層22')。在具體實施例中,相鄰閘極結構14'可為偽閘極結構。隔離區域20可包括例如在置換閘極結構14、14'之前形成的一淺溝渠隔離區域20a。隔離區域20可由含例如SiN所構成的一襯墊層20b之氧化物材料所構成。
在具體實施例中,隔離區域20可通過熟習該項技藝者熟知的傳統微影、蝕刻與沉積方法來形成。例如,金屬接點材料22上形成的一光阻暴露在能量(光線)下形成一圖案(開口)。使用例如反應性離子蝕刻(RIE,reactive ion etching)之選擇性化學的蝕刻製程將用於通過該光阻的該開口,在金屬接點材料22內形成一或多個溝渠。然後用傳統氧灰化製程或其他已知剝離劑移除該光阻。緊接在該光阻移除之後,用例如化學氣相沉積(CVD)製程的任何傳統沉積製程沉積襯墊層20b和絕緣體材料。利用傳統化學機械拋光(CMP,chemical mechanical polishing)製程可移除金屬接點材料22表面上的任何殘留材料。
在圖2中,通過選擇性蝕刻製程,移除(凹陷)一部分隔離區域20。更具體地,選擇性蝕刻製程可為低或零偏壓CF4、CHF3、CH2F2、CH3F電漿或具有氣體混合物的電漿,其選擇性蝕刻或凹陷隔離區域20的材料,例如SiO2和SiN材料,以形成凹槽24。在具體實施例中,該蝕刻製程也將移除一部分犧牲披覆材料14d,這有助於產生凹槽24。在具體實施例中,凹槽24低於犧牲披覆材料14d的表面,例如約低於5nm至約30nm的範圍。然而,應注意,該選擇性蝕刻製程將不暴露任何底下的閘極材料14c。
如圖3的進一步顯示,金屬接點材料22與襯墊層18經過拋光製程,止於犧牲披覆材料14d。在更具體的具體實施例中,金屬接點材料22與襯墊層18進行一選擇性CMP製程,其不會侵蝕犧牲披覆材料14d。 如此,犧牲披覆材料14d將留在閘極材料14c上面,避免材料暴露並導致在後續源極/汲極接點製程中短路。
在圖4中,層間介電層20'沉積在犧牲披覆材料14d上以及凹槽24的殘留部分內。在具體實施例中,層間介電層20'為通過傳統沉積方法沉積的氧化物材料,例如SiO2。例如,通過一CVD製程來沉積層間介電層20'。如圖4所示,該凹槽內的層間介電層20'形成具有相鄰閘極結構14'的階梯特徵件24'。
圖5顯示層間介電層20'中的源極與汲極接點26,其延伸到金屬接點材料22(例如,Co)並與之接觸。在具體實施例中,源極與汲極接點26可為任何適當的材料,包括例如鎢或鋁,內襯有TiN、Ta、TaN等。源極與汲極接點26可通過傳統微影、蝕刻、沉積和平坦化製程來形成。例如,在層間介電層20'上形成的一光阻暴露於能量(光)之下,以形成圖案(開口),在源極/汲極區域16上方對準並暴露金屬接點材料22。使用選擇性化學蝕刻製程(例如RIE)將用來通過該光阻的該開口,在層間介電層20'內形成一或多個溝渠。然後用傳統氧灰化製程或其他已知剝離劑移除該光阻。緊接在該光阻移除之後,用任何傳統沉積製程(例如CVD製程)沉積該導電材料。利用傳統化學機械拋光(CMP,chemical mechanical polishing)製程可移除層間介電層20'表面上的任何殘留材料。
上述該(等)方法用於積體電路晶片製造。結果積體電路晶片可由業者以原始晶圓形式(也就是具有多個未封裝晶片的單一晶圓)、如同一裸晶粒或已封裝形式來流通。在後者案例中,晶片固定在單晶片封裝內(像是塑膠載體,具有導線黏貼至主機板或其他更高層載體)或固定在多晶片封裝內(像是具有一或兩表面具有表面互連或掩埋式互連的陶瓷載體)。然後在任何案例中,晶片與其他晶片、離散電路元件及/或其他信號處理裝置整合成為(a)中間產品,像是主機板,或(b)末端產品。末端產品可為包括積體電路晶片的任何產品,範圍從玩具與其他低階應用到具有顯示器、鍵盤或其 它輸入裝置及中央處理器的進階電腦產品。
本發明的各種具體實施例的描述已為了說明而呈現,但沒有意圖將本發明受限在所揭露的形式。在不悖離所描述具體實施例之範疇與精神的前提下,熟習該項技藝者將瞭解許多修正例及變化例。本說明書內使用的術語係為了能最佳解釋具體實施例的原理、市場上所發現技術的實際應用或技術改進,或讓熟習該項技藝者理解本說明書所揭示的具體實施例。
12‧‧‧基材
14‧‧‧閘極結構
14a‧‧‧側壁空間層
14b‧‧‧高k閘極介電材料
14c‧‧‧閘極材料
14d‧‧‧犧牲披覆材料
16‧‧‧源極/汲極區域
18‧‧‧襯墊層
20'‧‧‧層間介電層
20a‧‧‧淺溝渠隔離區域
20b‧‧‧襯墊層
22‧‧‧金屬接點材料
26‧‧‧源極與汲極接點

Claims (25)

  1. 一種半導體結構的製造方法,包括:使相鄰閘極結構之間的一隔離區域形成凹陷,並低於源極/汲極金屬化的金屬化超覆層;使該金屬化超覆層平坦化至該等相鄰閘極結構之高度;及在該等相鄰閘極結構的側面上形成該源極/汲極金屬化的源極/汲極接點,並延伸至該等相鄰閘極結構上方。
  2. 如申請專利範圍第1項所述之方法,其中凹陷該隔離區域為一選擇性蝕刻製程,其並不會侵蝕該源極/汲極金屬化的該金屬化超覆層。
  3. 如申請專利範圍第2項所述之方法,其中該金屬化超覆層為鈷。
  4. 如申請專利範圍第3項所述之方法,其中該選擇性蝕刻為一低或零偏壓CF4、CHF3、CH2F2、CH3F電漿或具有選擇性蝕刻該鈷的該隔離區域之氣體混合物的電漿。
  5. 如申請專利範圍第2項所述之方法,其中該凹陷部分移除該相鄰閘極結構的一披覆材料。
  6. 如申請專利範圍第1項所述之方法,其中該平坦化為鈷超覆層的一化學機械拋光(CMP),其止於該相鄰閘極結構與其他閘極結構上的一披覆材料上。
  7. 如申請專利範圍第6項所述之方法,其中該CMP選擇用於該披覆材料。
  8. 如申請專利範圍第7項所述之方法,其中該披覆材料為保護底下閘極材料的一氮化物材料。
  9. 如申請專利範圍第7項所述之方法,其中該形成該等源極/汲極接點包括:將介電材料沉積於該凹槽內及該相鄰閘極結構和該其他閘極結構上;在該介電材料內形成開口,暴露該相鄰閘極結構與該其他閘極結構之間的該源極/汲極金屬化;及將金屬材料沉積於該開口內,接觸該源極/汲極金屬化。
  10. 一種半導體結構的製造方法,包括:移除閘極結構表面上方及源極/汲極金屬化特徵件的鈷超覆層內的介電材料;在移除該介電材料之後,使該鈷超覆層平坦化至該等閘極結構的一披覆材料;在該披覆材料與該源極/汲極金屬化特徵件上沉積層間介電材料;及在該等閘極結構上方一延伸的側面上,在該層間介電材料內形成該源極/汲極金屬化的源極/汲極接點。
  11. 如申請專利範圍第10項所述之方法,其中該移除介電材料為一選擇性蝕刻製程。
  12. 如申請專利範圍第11項所述之方法,其中該選擇性蝕刻製程為一低或零偏壓CF4、CHF3、CH2F2、CH3F電漿或具有氣體混合物的電漿,其 選擇性蝕刻該介電材料至低於該等閘極結構的該披覆材料之一表面之下。
  13. 如申請專利範圍第10項所述之方法,其中該平坦化為該鈷超覆層的一化學機械拋光(CMP),其止於該等閘極結構的該披覆材料上。
  14. 如申請專利範圍第13項所述之方法,其中該CMP選擇用於該披覆材料。
  15. 如申請專利範圍第13項所述之方法,其中該披覆材料為保護底下閘極材料的一氮化物材料。
  16. 如申請專利範圍第10項所述之方法,其中該層間介電材料的沉積為沉積於該披覆材料內形成的一階梯特徵件內。
  17. 如申請專利範圍第16項所述之方法,其中在該介電材料的該移除期間,通過凹陷相鄰閘極結構之間的一部分披覆材料以形成該階梯特徵件。
  18. 如申請專利範圍第17項所述之方法,其中該凹陷為低於該披覆材料頂端約5nm至約30nm。
  19. 如申請專利範圍第10項所述之方法,其中該介電材料為一選擇性移除至鈷材料的氧化物材料。
  20. 一種半導體結構,包括:複數個閘極結構,其每一者包括一披覆材料;源極與汲極,其相鄰於該等複數個閘極結構;鈷接點,其位於該等複數個閘極結構之間並延伸至該等源極與汲極區域並與之電接觸;一隔離材料,其位於該等複數個閘極結構的相鄰閘極結構之間;一階梯特徵件,其位於該等相鄰閘極結構的該披覆材料內;介電材料,其位於該階梯特徵件內以及該等複數個閘極結構的該披覆材料上方;及源極與汲極接點,其位於該介電材料內並接觸該等鈷接點。
  21. 如申請專利範圍第20項所述之結構,其中該隔離材料向上延伸至該等複數個閘極結構的該披覆材料上方。
  22. 如申請專利範圍第20項所述之結構,其中該隔離材料向下延伸至一基板內的一淺溝槽隔離區。
  23. 如申請專利範圍第20項所述之結構,其中該隔離材料係由具有一內襯的氧化物所構成。
  24. 如申請專利範圍第20項所述之結構,其中該階梯特徵件是透過將該隔離材料選擇性蝕刻或凹槽化所形成。
  25. 如申請專利範圍第20項所述之結構,其中在該階梯特徵件內的該介電材料直接接觸該隔離材料。
TW108104074A 2018-03-07 2019-02-01 接觸結構 TWI688991B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/914,547 US10593599B2 (en) 2018-03-07 2018-03-07 Contact structures
US15/914,547 2018-03-07

Publications (2)

Publication Number Publication Date
TW201939583A TW201939583A (zh) 2019-10-01
TWI688991B true TWI688991B (zh) 2020-03-21

Family

ID=67701858

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108104074A TWI688991B (zh) 2018-03-07 2019-02-01 接觸結構

Country Status (4)

Country Link
US (2) US10593599B2 (zh)
CN (1) CN110246804B (zh)
DE (1) DE102019200831A1 (zh)
TW (1) TWI688991B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094794B2 (en) * 2019-09-27 2021-08-17 Globalfoundries U.S. Inc. Air spacer structures

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140361352A1 (en) * 2013-06-06 2014-12-11 United Microelectronics Corp. Semiconductor device and fabrication method thereof

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09293689A (ja) * 1996-04-26 1997-11-11 Sony Corp 接続孔の形成方法
KR100349360B1 (ko) * 1999-12-20 2002-08-21 주식회사 하이닉스반도체 반도체장치의 콘택 형성방법
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6743683B2 (en) 2001-12-04 2004-06-01 Intel Corporation Polysilicon opening polish
KR100467023B1 (ko) * 2002-10-31 2005-01-24 삼성전자주식회사 자기 정렬 접촉 구조 및 그 형성 방법
KR20040042184A (ko) * 2002-11-13 2004-05-20 삼성전자주식회사 자기정렬 콘텍홀을 갖는 반도체소자의 형성방법
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
CN102376630B (zh) * 2010-08-20 2013-08-14 中国科学院微电子研究所 半导体器件及其局部互连结构的制造方法
KR101824537B1 (ko) * 2010-10-01 2018-03-15 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 유기 발광 디스플레이
US9601619B2 (en) * 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile
US10861748B2 (en) * 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
CN107818943B (zh) * 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US9412656B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9231067B2 (en) * 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9312182B2 (en) * 2014-06-11 2016-04-12 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US9406676B2 (en) * 2014-12-29 2016-08-02 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
US9653356B2 (en) * 2015-08-10 2017-05-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
KR102323943B1 (ko) * 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9412616B1 (en) * 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE112016006630T5 (de) * 2016-03-24 2018-12-13 Tokyo Electron Limited Verfahren zum Herstellen einer Halbleitereinrichtung
KR102549331B1 (ko) * 2016-11-14 2023-06-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10204994B2 (en) * 2017-04-03 2019-02-12 Globalfoundries Inc. Methods of forming a semiconductor device with a gate contact positioned above the active region
US10510601B2 (en) * 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing metal plug corrosion and device
US10707133B2 (en) * 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
KR102559270B1 (ko) * 2018-07-31 2023-07-24 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140361352A1 (en) * 2013-06-06 2014-12-11 United Microelectronics Corp. Semiconductor device and fabrication method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
TWI783606B (zh) * 2020-10-28 2022-11-11 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
US10593599B2 (en) 2020-03-17
CN110246804A (zh) 2019-09-17
US20200176325A1 (en) 2020-06-04
US11257718B2 (en) 2022-02-22
TW201939583A (zh) 2019-10-01
CN110246804B (zh) 2024-03-12
DE102019200831A1 (de) 2019-09-12
US20190279910A1 (en) 2019-09-12

Similar Documents

Publication Publication Date Title
US10586857B2 (en) Replacement metal gate structures
KR100586770B1 (ko) 듀얼 게이트 논리 장치용 중간 구조체, 그 중간 구조체의형성 방법
TWI712142B (zh) 中段連線結構
TWI714273B (zh) 比例化閘極接觸與源極/汲極蓋
TWI699865B (zh) 中段製程結構
US10636890B2 (en) Chamfered replacement gate structures
US11810812B2 (en) Single diffusion cut for gate structures
TWI688991B (zh) 接觸結構
US10522679B2 (en) Selective shallow trench isolation (STI) fill for stress engineering in semiconductor structures
TW202127584A (zh) 空氣間隔結構
TWI729283B (zh) 接觸結構
US11043588B2 (en) Vertical field effect transistor
US10366916B2 (en) Integrated circuit structure with guard ring
US10115738B2 (en) Self-aligned back-plane and well contacts for fully depleted silicon on insulator device
US20180233580A1 (en) Semiconductor structure with gate height scaling
TWI714176B (zh) 具降低短路與均勻倒角的置換金屬閘極及其製造方法
TWI793329B (zh) 連接至深溝渠隔離結構的接觸結構

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees