TW202127584A - 空氣間隔結構 - Google Patents

空氣間隔結構 Download PDF

Info

Publication number
TW202127584A
TW202127584A TW109128952A TW109128952A TW202127584A TW 202127584 A TW202127584 A TW 202127584A TW 109128952 A TW109128952 A TW 109128952A TW 109128952 A TW109128952 A TW 109128952A TW 202127584 A TW202127584 A TW 202127584A
Authority
TW
Taiwan
Prior art keywords
structures
liner
gate
liner layer
structure according
Prior art date
Application number
TW109128952A
Other languages
English (en)
Other versions
TWI753545B (zh
Inventor
朱里安 佛洛吉爾
阿里 拉札費耶
海艇 王
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202127584A publication Critical patent/TW202127584A/zh
Application granted granted Critical
Publication of TWI753545B publication Critical patent/TWI753545B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明所揭示內容一般係關於半導體結構,尤其係關於空氣間隔結構和製造方法。該結構包括:複數閘極結構,其包含主動區域;接點,其延伸到該等主動區域;複數錨定結構,其在該等主動區域之間;以及空氣間隔結構,其與該等接點相鄰。

Description

空氣間隔結構
本發明所揭示內容一般係關於半導體結構,尤其係關於空氣間隔結構和製造方法。
隨著半導體製程持續縮減尺寸(如縮小),特徵之間的所需間距(即腳距)也變得更小。為此,在該等較小技術節點中,由於關鍵尺寸(critical dimension,CD)縮放與製程能力,製造特徵變得越來越困難。
在射頻(radio frequency,RF)應用中,元件性能係受到寄生閘極對源極/汲極(source/drain,S/D)電容限制。這係因為RF電晶體之高頻性能指標(如Ft和Fmax)係與閘極結構對S/D接觸電容之數值(即閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )成反比。該寄生閘極對S/D電容可從以下顯現:(i)該閘極結構之間隔材料;及(ii)該閘極結構之金屬堆疊與該等S/D接點之金屬填充之間的相對較低品質氧化物可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)。
試圖解決寄生閘極對S/D電容的慣用元件涉及自對準接觸(self-aligned contact,SAC)積體流程。然而,SAC流程不必係用於具有相對較大接觸式多晶腳距(contacted poly pitch,CPP)的技術節點的首選積體,因為閘極結構之間的空間夠大,足以僅使用圖案化和蝕刻製程可靠形成該等溝槽接點。因此,無需將該等溝槽接點與該等閘極結構自對準。這樣的鬆弛的(relaxed) CPP元件之範例可在RF技術中找到,其中相鄰閘極結構之間的該空間維持夠大無需SAC積體流程。對RF元件而言,該等溝槽接點係直接蝕刻到該低品質FCVD氧化物中。然而,大多數該等所提出空氣間隙間隔積體皆係衍生自SAC流程,且不必與鬆弛的CPP積體相容。本發明提供對此問題的解決方案。
在所揭示內容之態樣中,一種結構包含:複數閘極結構,其包含主動區域;接點,其延伸到該等主動區域;複數錨定結構,其在該等主動區域之間;以及空氣間隔結構,其與該等接點相鄰。
在所揭示內容之態樣中,一種結構包含:複數閘極結構,其包含源極與汲極(S/D)區域;接點,其延伸到該等S/D區域;複數錨定結構,其在該等S/D區域之間;以及空氣間隔結構,其與該等接點和該等錨定結構相鄰。
在所揭示內容之態樣中,一種方法包含:形成至少一個閘極結構;形成與該至少一個閘極結構相鄰的複數主動區域;形成包覆(encapsulating)該至少一個閘極結構和該等主動區域的一雙襯層;在該雙襯層上方沉積一絕緣體材料;在該等主動區域之間形成複數錨定結構;形成與該等主動區域電接觸的複數接點;蝕刻該雙襯層之至少一個襯層;蝕刻該絕緣體材料之各選擇部位以形成至少一個空氣間隙;以及在該等空氣間隙內沉積一第二襯層以形成空氣間隔結構。
本發明所揭示內容一般係關於半導體結構,尤其係關於空氣間隔結構和製造方法。在各具體實施例中,文中所提供該等製程和結構利用襯層和錨定件在該等閘極結構與該等源極/汲極(S/D)接點之間形成用於空氣間隔結構的空氣間隙。具優勢地,透過形成空氣間隔結構,寄生閘極對S/D電容可由於空氣之低k值本質而減小,由此改良射頻(RF)元件性能。
文中所說明該等製程和結構考慮到閘極結構與S/D接點之間的間隔之介電係數,為了將該寄生閘極對S/D電容降低至RF技術所需目標數值(即降低該閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )而以指定方式設計製造。在各具體實施例中,形成相對較大空氣間隔結構考慮到這些RF目標數值(如RF電晶體之Ft和Fmax)達成,因為Ft和Fmax係與閘極結構對S/D接點之電容數值(即Cgd 和Cgs )成反比。在進一步各具體實施例中,文中所說明該等製程和結構係與非自對準接觸(SAC)製程相容,並可施加於對RF FinFET而言可為至關重要的任何接觸式多晶腳距(CPP)。以此方式,文中所說明該等結構和製程改良RF元件在任何CPP處之整體性能。
一種包括形成一雙(底部襯層和頂部襯層)接觸蝕刻停止襯層(CESL)以包覆該元件之閘極結構的方法。錨定結構係形成在該元件之該等主動區域(即S/D區域)之間,而層間介電帽蓋係由與該等錨定結構相同的材料形成在該CESL上方。該方法更包括一選擇性蝕刻,以為了接近該層間介電體而蝕刻該雙CESL之該頂部襯層。該層間介電體係選擇性蝕刻以去除該等閘極結構與該等S/D接點之間的該層間介電體,由此形成空氣間隙。透過在該等空氣間隙內沉積共形低k值襯層,相對較大空氣間隔結構係由該等空氣間隙形成。
一種包括具有該閘極結構之一間隔與該等S/D接點之間的一相對較大空氣間隙的空氣間隔結構的結構。該結構在該閘極結構之該等間隔中無空氣間隙。又,空氣間隙帽蓋係與該等S/D接點及該閘極結構之間隔接觸。在各具體實施例中,該結構包括一單一空氣間隙或雙重空氣間隙,其包覆在該等錨定結構之介電柱、該等S/D區域、與該等S/D接點之間。此外,該等S/D接點係透過該等錨定結構之該等介電柱錨定,其中該等錨定結構在主動區域之間。
本發明所揭示內容之該等結構可使用多種不同工具以多種方式製造。不過,一般來說,該等方法和工具係用於形成尺寸為微米和奈米尺度的結構。採用來製造本發明所揭示內容之結構的該等方法(即技術),已從積體電路(integrated circuit,IC)技術導入。舉例來說,該等結構係構建在晶圓上,並係實現在晶圓上方透過光微影成像製程圖案化的材料膜中。特別是,該結構之製造使用三個基本構建模塊:(i)在基板上沉積材料薄膜;(ii)透過光微影成像在該等膜上方施加圖案化光罩;以及(iii)對該光罩選擇性蝕刻該等膜。
圖1A至圖1C顯示依據本發明所揭示內容之態樣的引入結構及各自製程。具體而言,圖1A描繪出結構100之俯視圖,圖1B描繪出沿著X軸的結構100,而圖1C描繪出沿著Y軸的結構100。參照圖1A至圖1C,結構100包含鰭狀結構110,其由一合適半導體材料105組成。舉例來說,該等鰭狀結構110可能係由包括但不限於Si、SiGe、SiGeC、SiC、GaAs、InAs、InP等的任何合適半導體材料105組成。
該等鰭狀結構110可使用側壁影像轉印(sidewall image transfer,SIT)技術製造。在SIT技術之範例中,芯軸(mandrel)材料(如SiO2 )係使用慣用化學氣相沉積(CVD)製程沉積在基板上。光阻劑係形成在該芯軸材料上並曝光以形成圖案(開口)。反應性離子蝕刻(reactive ion etching,RIE)係穿越該等開口進行以形成該等芯軸。在各具體實施例中,該等芯軸可依該等鰭狀結構之該等所需尺寸而定具有不同寬度和/或間距。間隔係形成在該等芯軸之該等側壁上(其較佳為不同於該等芯軸的材料並係使用熟習此領域技術者已知慣用沉積製程形成)。該等間隔可具有例如與該等鰭狀結構之該等尺寸匹配的寬度。該等芯軸係使用對該芯軸材料具有選擇性的慣用蝕刻製程去除或剝離。然後,蝕刻係在該等間隔之該間距內進行以形成該等亞微影特徵(如鰭狀結構)。然後,該等側壁間隔可剝離。
仍參照圖1A至圖1C,閘極結構120係形成在該等鰭狀結構110和淺溝槽隔離(shallow trench isolation,STI)區域115上。該等STI區域115可透過慣用蝕刻與沉積製程形成,接著係平坦化製程(如化學機械平坦化(chemical mechanical planarization,CMP) )。在各具體實施例中,該等閘極結構120係由虛擬閘極材料125 (如非晶矽(α-Si) )和覆蓋層130組成。除了其他範例之外,覆蓋層130可由任何合適硬式光罩材料(如SiN)形成。
虛擬閘極材料125和覆蓋層130係透過CVD沉積,接著係慣用圖案化步驟。該等閘極結構120更包括側壁間隔135 (如低k值介電體),其可沉積在該等圖案化材料125、130之側壁上。該等側壁間隔135可透過慣用化學氣相沉積(CVD)製程沉積,接著係圖案化製程(如非等向性蝕刻製程),以從結構100之水平表面去除任何材料。
源極與汲極(S/D)區域140係使用例如任何慣用方法,形成在該等鰭狀結構110上的該等閘極結構120之側面(如該等側壁間隔135之側面)上。舉例來說,該等S/D區域140可為在該等閘極結構120之間的開口內,透過該等鰭狀結構110之該等表面上的材料之摻雜磊晶生長形成的昇起式S/D區域。在進一步各具體實施例中,該等S/D區域140可如熟習此領域技術者已習知,透過離子植入製程、摻雜製程、或透過擴散製程形成,使得無需進一步解說即可理解本發明所揭示內容。
圖2A和圖2B顯示沉積在該等閘極結構120和該等S/D區域140上方的雙接觸蝕刻停止襯層(CESL) 145。在各具體實施例中,雙CESL 145可由底部襯層150和頂部襯層155構成。以此方式,該蝕刻停止襯層係雙蝕刻停止襯層(即雙CESL 145),包含一底部襯層150和一頂部襯層155。底部襯層150可由任何合適低k值材料(如SiBCN)組成。在進一步各具體實施例中,底部襯層150可由與該等側壁間隔135相同的低k值材料組成。頂部襯層155也可由低k值材料(如SiN)組成。據此,該底部襯層和該頂部襯層係由低k值材料組成。底部襯層150和頂部襯層155可透過原子層沉積(ALD)或CVD製程沉積。
底部襯層150和頂部襯層155可每個皆具有2 nm至5 nm之範圍內的厚度。以此方式,雙CESL 145可形成為具有約4 nm至10 nm之範圍內的厚度;然而文中設想其他尺寸。層間介電體(interlevel dielectric,ILD) 160係沉積在該等S/D區域140和雙CESL 145上方。ILD 160可透過CVD製程沉積,並係由例如氧化物組成。在沉積之後,ILD 160係透過CMP製程平坦化到覆蓋層130之高度,由此去除覆蓋層130正上方的雙CESL 145。
圖3A和圖3B顯示形成在該元件之該等主動區域之間的錨定結構165。在各具體實施例中,有機平坦化層(organic planarization layer,OPL)係透過旋轉塗佈製程施加,以在ILD 160之頂部表面及覆蓋層130之頂部表面上方覆蓋沉積該OPL材料。選擇性蝕刻製程(如RIE)圖案化ILD 160,以在ILD 160內形成溝槽。該OPL材料係透過慣用蝕刻製程(如氧灰化製程)蝕刻掉。
錨定結構165係透過在該溝槽內透過ALD或CVD製程沉積填充材料170,在該等主動區域(即S/D區域140)之間的ILD 160之該溝槽內以及在雙CESL 145上方形成。以此方式,該結構包括一蝕刻停止襯層(即雙CESL 145),其在該等錨定結構165下面。在各具體實施例中,填充材料170可由SiC組成,並可透過CMP製程(其由於填充材料170與ILD 160之間的選擇性而在ILD 160上停止)研磨。
在各具體實施例中,該等錨定結構165防止結構100在後續蝕刻底部襯層150、頂部襯層155、和/或ILD 160過程中塌陷。在形成該等錨定結構165後,覆蓋層130係使用慣用蝕刻技術(如RIE製程)蝕刻(去除)。以此方式,虛擬閘極材料125暴露出。在替代性各具體實施例中,該等錨定結構165可在形成替換閘極結構之後形成。
圖4A和圖4B顯示形成在該等鰭狀結構110上方的替換閘極結構175。在各具體實施例中,虛擬閘極材料125係透過慣用蝕刻技術(如RIE製程)去除。以此方式,該等閘極結構175包含側壁間隔135和一蝕刻停止襯層(即雙CESL 145),其與該等側壁間隔135相鄰。該等替換閘極結構175包括一閘極堆疊180,其包括一介電材料和一閘極金屬。
該閘極介電材料可為例如高k值閘極介電材料(如鉿基介電體)。在各具體實施例中,該等高k值介電材料可包括但不限於:Al2 O3 、Ta2 O3 、TiO2 、La2 O3 、SrTiO3 、LaAlO3 、ZrO2 、Y2 O3 、Gd2 O3 、及包括其多層的組合。閘極堆疊180之閘極金屬可依該特定應用和該等設計參數而定,包括任何金屬或金屬之任何組合(如TiN、TiC、鎢(W) )。閘極帽蓋185係沉積在閘極堆疊180上方。在各具體實施例中,閘極堆疊180和閘極帽蓋185可使用CVD製程沉積在該等側壁間隔135之間,接著係CMP製程。
圖5A和圖5B顯示沉積在ILD 160和該等錨定結構165上方的空氣間隙帽蓋190。在各具體實施例中,在沉積空氣間隙帽蓋190之前,ILD 160係透過選擇性RIE製程凹陷,由此形成溝槽。該溝槽係用材料195填充以形成空氣間隙帽蓋190 (其係透過CVD製程沉積,接著係CMP製程)。在各具體實施例中,材料195係與該等錨定結構165之填充材料170相同的材料(即SiC)。以此方式,該帽蓋(即空氣間隙帽蓋190)係由與該等錨定結構165相同的材料組成。
圖6A和圖6B除了其他特徵之外,顯示該等S/D接點200。在各具體實施例中,溝槽係使用慣用微影與蝕刻技術(如RIE製程)形成在ILD 160中。在各具體實施例中,該溝槽形成可由於所實行該等材料而為無光罩製程。該蝕刻為了後續用選擇性化學方法蝕刻該等S/D區域140,而暴露出該等S/D區域140上方的雙CESL 145。
在各具體實施例中,溝槽係透過使用RIE製程選擇性蝕刻空氣間隙帽蓋190和ILD 160形成,由此暴露出雙CESL 145。然後,雙CESL 145係從該等S/D區域140之該頂部表面蝕刻,由此暴露出該等S/D區域140。去除雙CESL 145可為擇一透過濕式蝕刻或乾式蝕刻進行的無光罩製程,其使用化學方法去除例如該等襯層150、155之材料(對該等剩餘材料具有選擇性)。該等S/D接點200將形成為與該等S/D區域140之該等所暴露出部位接觸。更具體而言,該等S/D接點200之金屬材料205將直接接觸該等S/D區域140。
自對準矽化物(salicide)襯層係沉積在該等S/D區域140上方的該等溝槽中,然後受到矽化物製程。如熟習此領域技術者應可理解,該矽化物製程始於在完全成形且圖案化半導體元件(如S/D區域140)上方沉積薄過渡金屬層(如鎳、鈷、或鈦)。在沉積該材料之後,該結構係加熱,從而允許該過渡金屬與該半導體元件之該等主動區域(如源極、汲極、閘極接觸區域)中的所暴露出矽(或如文中所說明其他半導體材料)反應,以形成低電阻過渡金屬矽化物。在該反應後,任何剩餘過渡金屬皆係透過化學蝕刻去除,從而在該元件之該等主動區域中留下矽化物接點。熟習此領域技術者應可理解,當閘極結構係由金屬材料組成時,該等元件上將無需矽化物接點。
該自對準矽化物襯層可使用物理氣相沉積(physical vapor deposition,PVD)或CVD製程沉積。在該矽化物製程後,金屬材料205係沉積在該過渡金屬矽化物上,由此形成該等S/D接點200。該等S/D接點200係形成為與該等S/D區域140之該等所暴露出部位接觸。更具體而言,該等S/D接點200之金屬材料205直接接觸該等S/D區域140。金屬材料205可由例如鈷(Co)或鎢(W)或釕(Ru)組成。
沉積金屬材料205接著係對閘極帽蓋185之材料的CMP製程。以此方式,形成該等S/D接點200係非自對準接觸(SAC)製程。在各具體實施例中,該等S/D接點200係透過該等錨定結構165錨定,由此在後續蝕刻製程過程中為該等S/D接點200提供進一步穩定性。具體而言,該等接點200係錨定到該等錨定結構165中。
圖7A和圖7B顯示透過去除雙CESL 145之頂部襯層155及ILD 160之各選擇部位形成的空氣間隙210。在各具體實施例中,去除頂部襯層155係透過氣相蝕刻進行的無光罩製程,其使用選擇性化學方法去除例如頂部襯層155之材料(對ILD 160之SiO2 材料、該等錨定結構165之SiC材料、及底部襯層150之低k值材料SiBCN具有選擇性)。
ILD 160之SiO2 材料係使用對該等錨定結構165之SiC材料及底部襯層150之低k值材料SiBCN具有選擇性的選擇蝕刻(如氣相蝕刻)去除。具體而言,該等替換閘極結構175與該等S/D接點200之間的ILD 160之各選擇部位係蝕刻掉,而ILD 160之其他部位維持。以此方式,空氣間隙210係形成在該等替換閘極結構175與該等S/D接點200之間,而雙CESL 145之底部襯層150維持完整。在各具體實施例中,頂部襯層155之一部位及底部襯層150之一部位皆被該等錨定結構165覆蓋,因此維持在該等錨定結構165下面。以此方式,該蝕刻停止襯層(即雙CESL 145)在該等錨定結構165下面延伸。
在各具體實施例中,該等錨定結構165之該等介電柱透過將該等S/D接點200錨定在該等錨定結構中為結構100提供穩定性,由此防止該元件之該等層中的塌陷。據此,當ILD 160之該等選擇部位去除時,結構100不會因該等S/D接點200之重量而塌陷。
圖8A和圖8B顯示形成空氣間隔結構220 (即單一或雙空氣間隙結構)。據此,文中所說明該等結構和製程包括複數閘極結構175,其包含主動區域(即S/D區域140);以及接點200,其延伸到該等主動區域。又,該結構包括複數錨定結構165,其在該等主動區域之間;以及空氣間隔結構220,其與該等接點200相鄰。
該等空氣間隔結構220係透過在該等空氣間隙210之側壁上沉積低k值襯層215形成以形成該等空氣間隔結構220,接著係等向性回蝕製程。以此方式,該等空氣間隔結構220包含一襯層(即低k值襯層215)和空氣間隙210。低k值襯層215可由透過CVD製程(作為範例)沉積的任何合適低k值材料(如SiBCN)組成。在各具體實施例中,沉積低k值襯層215包覆該等空氣間隙210以形成該等空氣間隔結構220。據此,該等空氣間隔結構220包含空氣間隙210,其在該等閘極結構175與該等接點200之間。在各具體實施例中,低k值襯層215襯裡該等錨定結構165,且空氣間隙帽蓋190係在該等空氣間隔結構220上方。
該等空氣間隔結構220內所含空氣之低k值本質考慮到該寄生閘極對S/D電容減小,由此改良RF元件性能。又,該等空氣間隔結構220上方的空氣間隙帽蓋190考慮到該等空氣間隔結構220之進一步完整性。以此方式,結構包括複數閘極結構175,其包含源極與汲極(S/D)區域140;以及接點200,其延伸到該等S/D區域140。又,複數錨定結構165係在該等S/D區域140之間,其中空氣間隔結構220與該等接點200和該等錨定結構165相鄰。
文中所說明該等製程和結構考慮到該等替換閘極結構175與S/D接點200之間的間隔結構之介電係數,為了將該寄生閘極對S/D電容降低至RF技術所需目標數值(即降低該閘極對汲極電容(Cgd )和閘極對源極電容(Cgs ) )而設計製造。在各具體實施例中,形成該等相對較大空氣間隔結構220考慮到這些RF目標數值(如RF電晶體之Ft和Fmax)達成,因為Ft和Fmax係與閘極結構對S/D接觸電容Cgd 和Cgs 之數值成反比。又,文中所說明該等製程和結構係與非自對準接觸(SAC)流程相容,並可施加於對例如RF FinFET而言可為至關重要的任何接觸式多晶腳距(CPP)。以此方式,文中所說明該等結構和製程改良RF元件在任何CPP處之整體性能。
一般來說,文中所說明該等結構和製程係與記錄積體流程和相對較大CPP之製程相容。又,文中所說明該等結構和製程解決因該等替換閘極結構175之閘極堆疊180和閘極帽蓋185與該等S/D接點200之金屬材料205之間的相對較低品質氧化物可流動化學氣相沉積(FCVD)所造成的寄生閘極對S/D電容。透過用鬆弛的CPP去除這些RF元件之低品質FCVD氧化物並形成空氣間隙代替,該等寄生電容可大幅減小,且該等整體RF性能改良。此外,文中所說明該等結構和製程不限於具有僅形成在該等替換閘極結構175之該等側壁間隔135內的小空氣間隙;而是,文中所說明該等結構和製程考慮到與該等替換閘極結構175之該等側壁間隔135相鄰的相對較大空氣間隔結構220。
圖9A至圖10B顯示依據本發明所揭示內容之態樣的替代性結構。類似於圖1A至圖8B內所說明該等製程,圖9A和圖9B顯示除了圖7A和圖7B中所例示去除頂部襯層155以外,去除底部襯層150及該等替換閘極結構175之該等側壁間隔135。據此,該等空氣間隙210a大於圖7A和圖7B之該等空氣間隙210。以此方式,由於去除底部襯層150和該等側壁間隔135所產生該等空氣間隙210之大小增加,圖10A和圖10B中所示該等空氣間隔結構220a相對較大於圖8A和圖8B之該等空氣間隔結構220。以此方式,文中所說明該等製程包括形成至少一個閘極結構175;以及形成與至少一個閘極結構175相鄰的複數主動區域(即S/D區域140)。又,該製程包括形成包覆該至少一個閘極結構和該等主動區域的一雙襯層(即雙CESL 145);以及在該雙襯層上方沉積一絕緣體材料(即ILD 160)。
此外,該製程包括在該等主動區域之間形成複數錨定結構165;以及形成與該等主動區域電接觸的複數接點200。在各具體實施例中,該製程結束於蝕刻該雙襯層之至少一個襯層(即底部襯層150或頂部襯層155);蝕刻該絕緣體材料之各選擇部位以形成至少一個空氣間隙210;以及在該等空氣間隙210內沉積一第二襯層(即低k值襯層215)以形成空氣間隔結構。在進一步各具體實施例中,該蝕刻該至少一個襯層係該雙襯層之頂部襯層155。
使用如上述所說明該(等)方法製造積體電路晶片。該製造商可以原始晶圓形式(即作為具有多個未封裝晶片的單片晶圓)、作為裸晶粒、或以封裝形式分銷該等所得到的積體電路晶片。在該後者情況下,以單晶片封裝(如塑料載體,帶有貼附於主機板或其他更高層級載體的引線)或以多晶片封裝(如具有表面內連線或埋藏內連線任一者或兩者的陶瓷載體)封固該晶片。在任何情況下,該晶片隨後皆與其他晶片、個別電路單元、及/或其他信號處理裝置整合作為(a)中間產品(如主機板)或(b)最終產品任一者之部分。該最終產品可為包括積體電路晶片的任何產品,範圍從玩具及其他低階應用至具有顯示器、鍵盤、或其他輸入裝置、以及中央處理器的高階電腦產品皆包括。
本發明所揭示內容之該等各種具體實施例之該等說明內容已為了例示之目的而進行描述,但不欲為全面性或限於所揭示該等具體實施例。對此領域一般技術者來說,將顯而易見許多修飾例和變化例而不悖離該等所說明具體實施例之範疇與精神。文中所使用的用語經過選擇,以最佳解說該等具體實施例之該等原理、市場中所見技術的實際應用或技術改良,或讓此領域其他一般技術者能夠理解文中所揭示該等具體實施例。
100:結構 105:半導體材料 110:鰭狀結構 115:淺溝槽隔離(STI)區域 120:閘極結構 125:虛擬閘極材料 130:覆蓋層 135:側壁間隔 140:源極與汲極(S/D)區域 145:雙接觸蝕刻停止襯層(CESL) 150:底部襯層 155:頂部襯層 160:層間介電體(ILD) 165:錨定結構 170:填充材料 175:替換閘極結構 180:閘極堆疊 185:閘極帽蓋 190:空氣間隙帽蓋 195:材料 200:S/D接點 205:金屬材料 210、210a:空氣間隙 215:低k值襯層 220、220a:空氣間隔結構
藉由本發明所揭示內容之示例性具體實施例之非限制性範例參照該等所提及複數圖式,本發明所揭示內容係在接下來的實施方式中說明。
圖1A至圖1C除了其他特徵之外,顯示依據本發明所揭示內容之態樣的虛擬閘極結構及各自製程。
圖2A和圖2B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的底部與頂部接觸蝕刻停止襯層(contact etch stop liner,CESL)及各自製程。
圖3A和圖3B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的錨定結構及各自製程。
圖4A和圖4B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的替換閘極結構及各自製程。
圖5A和圖5B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隙覆蓋層及各自製程。
圖6A和圖6B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的源極/汲極(S/D)金屬化特徵及各自製程。
圖7A和圖7B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隙及各自製程。
圖8A和圖8B除了其他特徵之外,顯示依據本發明所揭示內容之態樣的空氣間隔結構及各自製程。
圖9A至圖10B顯示依據本發明所揭示內容之態樣的替代性結構及各自製程。
105:半導體材料
110:鰭狀結構
140:源極與汲極(S/D)區域
180:閘極堆疊
185:閘極帽蓋
190:空氣間隙帽蓋
200:S/D接點
205:金屬材料
215:低k值襯層
220、220a:空氣間隔結構

Claims (20)

  1. 一種結構,包含: 複數個閘極結構,包含多個主動區域; 多個接點延伸到該等主動區域; 複數錨定結構介於該等主動區域之間;以及 多個空氣間隔結構與該等接點相鄰。
  2. 如請求項1所述之結構,其中該等空氣間隔結構包含一襯層和空氣間隙。
  3. 如請求項2所述之結構,其中該襯層係一低k值襯層。
  4. 如請求項1所述之結構,其中該低k值襯層襯裡該等錨定結構。
  5. 如請求項1所述之結構,更包含一蝕刻停止襯層,其在該等錨定結構下面。
  6. 如請求項5所述之結構,其中該蝕刻停止襯層包含一底部襯層和一頂部襯層的一雙蝕刻停止襯層。
  7. 如請求項6所述之結構,其中該底部襯層和該頂部襯層係由一低k值材料組成。
  8. 如請求項1所述之結構,更包含一帽蓋,其在該等空氣間隔結構上方。
  9. 如請求項8所述之結構,其中該帽蓋係由與該等錨定結構相同的一材料組成。
  10. 如請求項1所述之結構,其中該等接點係透過該等錨定結構錨定。
  11. 一種結構,包含: 複數閘極結構,包含源極與汲極(source and drain,S/D)區域; 多個接點,延伸到該等S/D區域; 複數錨定結構,介於該等S/D區域之間;以及 多個空氣間隔結構該等接點和該等錨定結構相鄰。
  12. 如請求項11所述之結構,其中該等接點係錨定到該等錨定結構中。
  13. 如請求項11所述之結構,其中該等空氣間隔結構包含空氣間隙,其在該等閘極結構與該等接點之間。
  14. 如請求項13所述之結構,其中該等空氣間隔結構包含一襯層。
  15. 如請求項14所述之結構,其中該襯層係一低k值襯層。
  16. 如請求項13所述之結構,其中該等閘極結構包含側壁間隔和一蝕刻停止襯層,其與該等側壁間隔相鄰。
  17. 如請求項16所述之結構,其中該蝕刻停止襯層在該等錨定結構下面延伸。
  18. 如請求項17所述之結構,其中該蝕刻停止襯層包含一底部襯層和一頂部襯層的一雙蝕刻停止襯層。
  19. 一種方法,包含: 形成至少一個閘極結構; 形成與該至少一個閘極結構相鄰的複數主動區域; 形成一雙襯層,該雙襯層包覆(encapsulating)該至少一個閘極結構和該等主動區域; 在該雙襯層上方沉積一絕緣體材料; 在該等主動區域之間形成複數錨定結構; 形成與該等主動區域電接觸的複數接點; 蝕刻該雙襯層之至少一個襯層; 蝕刻該絕緣體材料之各選擇部位以形成至少一個空氣間隙;以及 在該等空氣間隙內沉積一第二襯層以形成空氣間隔結構。
  20. 如請求項19所述之方法,其中蝕刻該至少一個襯層係該雙襯層之一頂部襯層。
TW109128952A 2019-09-27 2020-08-25 空氣間隔結構 TWI753545B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/585,671 2019-09-27
US16/585,671 US11094794B2 (en) 2019-09-27 2019-09-27 Air spacer structures

Publications (2)

Publication Number Publication Date
TW202127584A true TW202127584A (zh) 2021-07-16
TWI753545B TWI753545B (zh) 2022-01-21

Family

ID=74873022

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128952A TWI753545B (zh) 2019-09-27 2020-08-25 空氣間隔結構

Country Status (4)

Country Link
US (1) US11094794B2 (zh)
CN (1) CN112582462A (zh)
DE (1) DE102020122500A1 (zh)
TW (1) TWI753545B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11817491B2 (en) * 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11876117B2 (en) 2021-10-18 2024-01-16 International Business Machines Corporation Field effect transistor with reduced parasitic capacitance and resistance

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869379A (en) 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US7342266B2 (en) * 2006-01-09 2008-03-11 International Business Machines Corporation Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US7741663B2 (en) 2008-10-24 2010-06-22 Globalfoundries Inc. Air gap spacer formation
US9305835B2 (en) 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
KR102321390B1 (ko) * 2014-12-18 2021-11-04 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9735246B1 (en) 2016-05-11 2017-08-15 International Business Machines Corporation Air-gap top spacer and self-aligned metal gate for vertical fets
US9831346B1 (en) * 2016-07-27 2017-11-28 GlobalFoundries, Inc. FinFETs with air-gap spacers and methods for forming the same
US10008577B2 (en) 2016-08-01 2018-06-26 Globalfoundries Inc. Methods of forming an air-gap spacer on a semiconductor device and the resulting device
US9892961B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10438972B2 (en) * 2016-09-12 2019-10-08 International Business Machines Corporation Sub-fin removal for SOI like isolation with uniform active fin height
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US10128334B1 (en) * 2017-08-09 2018-11-13 Globalfoundries Inc. Field effect transistor having an air-gap gate sidewall spacer and method
US10607893B2 (en) * 2018-02-17 2020-03-31 Globalfoundries Inc. Middle of line structures
US10395988B1 (en) * 2018-04-10 2019-08-27 International Business Machines Corporation Vertical FET transistor with reduced source/drain contact resistance
US10833165B2 (en) * 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance

Also Published As

Publication number Publication date
TWI753545B (zh) 2022-01-21
DE102020122500A1 (de) 2021-04-01
CN112582462A (zh) 2021-03-30
US20210098591A1 (en) 2021-04-01
US11094794B2 (en) 2021-08-17

Similar Documents

Publication Publication Date Title
US10692989B2 (en) Replacement metal gate structures
US10636890B2 (en) Chamfered replacement gate structures
US11810812B2 (en) Single diffusion cut for gate structures
US10790376B2 (en) Contact structures
TWI753545B (zh) 空氣間隔結構
US20230299181A1 (en) Single fin structures
TWI729283B (zh) 接觸結構
US10685840B2 (en) Gate structures