CN109689666B - 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法 - Google Patents

第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法 Download PDF

Info

Publication number
CN109689666B
CN109689666B CN201780055127.5A CN201780055127A CN109689666B CN 109689666 B CN109689666 B CN 109689666B CN 201780055127 A CN201780055127 A CN 201780055127A CN 109689666 B CN109689666 B CN 109689666B
Authority
CN
China
Prior art keywords
group
metal
compound
butyl
chemical formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780055127.5A
Other languages
English (en)
Other versions
CN109689666A (zh
Inventor
韩元锡
朴明镐
金大荣
崔晙焕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
UP Chemical Co Ltd
Original Assignee
UP Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by UP Chemical Co Ltd filed Critical UP Chemical Co Ltd
Publication of CN109689666A publication Critical patent/CN109689666A/zh
Application granted granted Critical
Publication of CN109689666B publication Critical patent/CN109689666B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation

Abstract

本发明涉及:一种新的第五主族金属化合物;第五主族金属化合物的制备方法;含有第五主族金属化合物的含第五主族金属的膜沉积前体组合物;和使用该含第五主族金属膜沉积前体组合物的含第五主族金属的膜沉积方法。

Description

第五主族金属化合物、其制备方法、包含其的膜沉积前体组合 物及使用其的膜沉积方法
技术领域
本发明涉及新型第五主族金属化合物,制备该第五主族金属化合物的方法,用于沉积含该第五主族金属化合物的含第五主族金属层的前体组合物,以及使用用于沉积含第五主族金属层的前体组合物沉积含第五主族金属层的方法。
背景技术
第五主族金属(特别是钽(Ta)和铌(Nb))的金属层,以及钽和铌的氧化物层或氮化物层可用于制造半导体器件。特别地,通过溅射形成含钽层的方法已经用于半导体器件的制造工艺中。然而,为了在不均匀表面上形成极薄(几纳米厚)的含钽层,例如铜扩散阻挡层,需要具有优异阶梯覆盖(step coverage)的化学沉积方法,特别是原子层沉积方法,并且,因此需要适合于此的第五主族金属前体化合物。
五(二甲基氨基)钽(PDMAT)、(叔丁基酰亚氨基)三(二乙基氨基)钽(TBTDET)、(叔丁基酰亚氨基)三(二乙基氨基)铌(TBTDEN)等已知作为有机金属前体化合物能够形成含第五主族金属的层(参见美国专利No.6,552,209)。然而,PDMAT是固体,因此不便于用于化学沉积方法或原子层沉积方法。液体源对于在化学沉积方法或原子层沉积方法中应用是有利的。被配置成在圆柱形容器中蒸发液体或蒸发以恒定流速注入的液体的直接液体注入(DLI)系统,已广泛用于半导体器件的制造工艺中。然而,固体的升华速率与在升华过程中持续变化的固体表面积成正比,因此,难以均匀地蒸发和供应固体,并且需要特殊的装置。此外,所有PDMAT、TBTDET和TBTDEN的热稳定性都很差,因此不利于在高温下使用。例如,难以在原子层沉积方法中使用这些化合物在300℃的不均匀表面上形成均匀厚度的氧化物层。因此,需要一种新的第五主族金属前体化合物,其可用于半导体器件的商业制造过程中并具有高的热稳定性并且在室温下呈液态或粘性固态。
发明内容
本发明要解决的问题
本发明构思为提供新的第五主族金属化合物,制备该第五主族金属化合物的方法,用于沉积含有该第五主族金属化合物的含第五主族金属层的前体组合物,以及使用用于沉积含第五主族金属层的前体组合物沉积含第五主族金属层的方法。
然而,本公开要解决的问题不限于上述问题。尽管这里没有描述,但是本领域技术人员从以下描述中可以清楚地理解本公开要解决的其他问题。
解决问题的手段
根据本发明的第一方面,提供了由以下化学式1表示的第五主族金属化合物:
[化学式1]
Figure BDA0001988511570000021
在上述化学式1中,M为Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数。
根据本发明的第二方面,提供一种制备由以下化学式1表示的第五主族金属化合物的方法,其包括使由以下化学式2表示的化合物(R5N=)M(NR6R7)3与由以下化学式3表示的化合物R1R2R3R4Cp(CH2)nNHR8反应:
[化学式1]
Figure BDA0001988511570000031
[化学式2]
Figure BDA0001988511570000032
[化学式3]
Figure BDA0001988511570000033
M为Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数。
根据本发明的第三方面,提供了一种用于沉积含第五主族金属层的前体组合物,包括根据本发明第一方面的第五主族金属化合物。
根据本发明的第四方面,提供一种沉积含第五主族金属层的方法,包括使用根据本发明第三方面的用于沉积含第五主族金属层的前体组合物在基板上形成含第五主族金属的层。
发明效果
根据本公开的示例性实施方案的新型第五主族金属化合物可以在室温下形成液态或粘性固态,因此具有改善的热稳定性和高挥发性。
根据本公开的示例性实施方案的新型含第五主族金属元素的化合物具有高热稳定性,因此可用作原子层沉积(ALD)或化学气相沉积(CVD)的前体以形成高质量的含第五主族金属层,特别是可用于在其表面上具有不均匀性(波纹性)的基板上均匀地形成具有小且均匀的厚度的含第五主族金属层。因此,根据本公开示例性实施例的用于形成含第五主族金属层的方法可以应用于半导体器件的商业制造。
根据本公开的示例性实施方案的新型第五主族金属化合物可用作ALD、CVD等的前体,因此可提供制造下一代器件(如半导体)所需的性能,例如改善的热稳定性、高挥发性或增加的沉积速率,因此可有效地用于形成含第五主族金属的层或薄膜。
附图说明
图1是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NEt2)的热重分析(TGA)的结果的图。
图2是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NEt2)的差示扫描量热法(DSC)的结果的图。
图3是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NEtMe)的热重分析(TGA)的结果的图。
图4是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NEtMe)的差示扫描量热法(DSC)的结果的图。
图5是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NMe2)的热重分析(TGA)的结果的图。
图6是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Ta(NMe2)的差示扫描量热法(DSC)的结果的图。
图7是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NEt2)的热重分析(TGA)的结果的图。
图8是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NEt2)的差示扫描量热法(DSC)的结果的图。
图9是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NEtMe)的热重分析(TGA)的结果的图。
图10是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NEtMe)的差示扫描量热法(DSC)的结果的图。
图11是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NMe2)的热重分析(TGA)的结果的图。
图12是显示根据本公开的实施例的(Cp(CH2)2N(CH3))(tBuN)Nb(NMe2)的差示扫描量热法(DSC)的结果的图。
图13示出了分别使用根据本公开的实施例7和对比例1的不同的第五主族金属化合物前体的原子层沉积中根据基板温度的膜生长。
具体实施方式
在下文中,将参考附图详细描述本公开的示例,使得本领域技术人员可以容易地实现本公开。然而,应注意,本公开不限于这些示例,而是可以以各种其他方式实施。在附图中,为了简化说明,省略了与描述无关的部分,并且相同的附图标记在整个文档中表示相同的部分。
在整个文件中,术语“连接到”或“耦合到”用于指定一个元素与另一个元素的连接或耦合包括元素“直接连接或耦合到”另一个元素的情况,以及一个元素通过另一个元素“电性连接或耦合到”又另一个元素的情况。
在整个文件中,用于指定一个元素相对于另一个元素的位置的术语“在…上”包括一个元素与另一个元素相邻的情况和这两个要素之间存在任何其他元素的情况。
此外,在整个文件中,在文件中使用的术语“包括或包含”意味着在描述的组件、步骤、操作和/或元素之外,除了上下文另有规定外,并不排除一个或多个其他组件、步骤、操作和/或元素的存在或添加。
在整个文件中,术语“大约或近似”或“基本上”旨在具有接近于允许误差指定的数值或范围的含义,并且旨在防止为理解本发明而公开的准确或绝对数值被任何不合情理的第三方非法或不公平地使用。
在整个文件中,术语“……的步骤”并不意味着“用于……的步骤”。
通过整个文件,包括在马库什类型描述中的术语“组合”表示选自描述于以马库什形式描述的组件、步骤、操作和/或元素所组成的组中的一个或多个组件、步骤、操作和/或元素的混合或组合,从而意味着本公开包括从马库什组中选择的一个或多个组件、步骤、操作和/或元件。
在整个文件中,“A和/或B”形式的短语表示“A或B,或A和B”。
在整个文件中,术语“烷基”包括具有1至12个碳原子、1至10个碳原子、1至8个碳原子、1至5个碳原子、1至3个碳原子、3至8个碳原子、或3至5个碳原子的直链或支链烷基。例如,烷基可包括甲基、乙基、正丙基(nPr)、异丙基(iPr)、正丁基(nBu)、叔丁基(tBu)、异丁基(iBu)、仲丁基(sBu)、正戊基、叔戊基、异戊基、仲戊基、新戊基、3-戊基、己基、异己基、庚基、4,4-二甲基戊基、辛基、2,2,4-三甲基戊基、壬基、癸基、十一烷基、十二烷基及其异构体,但不限于此。
通过整个文件,术语“层”可以包括“层”或“薄膜”,但是可以不限于此。
以下,将参考附图详细描述本公开的示例性实施方案和实施例,但是本公开可以不限于以下示例性实施方案、实施例和附图。
根据本发明的第一方面,提供了由以下化学式1表示的第五主族金属化合物:
[化学式1]
Figure BDA0001988511570000071
在上述化学式1中,M是Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数。
在本公开的一个实施方案中,R1、R2、R3和R4可以彼此相同或不同,并且可以包括例如氢(H)、甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但不限于此。
在本公开的一个实施方案中,R1、R2、R3和R4中的每一个可以独立地为氢(H)、甲基或乙基,但不限于此。
在本发明的一个实施方案中,R5可以是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基,但不限于此。
在本公开的一个实施方案中,R6、R7和R8可以彼此相同或不同,并且可以包括例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但不限于此。
在本公开的一个实施方案中,R6、R7和R8中的每一个可以独立地为甲基或乙基,但不限于此。
在本公开的一个实施方案中,第五主族金属化合物可包括选自以下化合物的化合物,但不限于此:
Figure BDA0001988511570000081
Figure BDA0001988511570000091
在本公开的一个实施方案中,第五主族金属化合物在室温下可以呈液态或粘性固态。
根据本发明的第二方面,提供一种制备由以下化学式1表示的第五主族金属化合物的方法,其包括使由以下化学式2表示的化合物(R5N=)M(NR6R7)3与由以下化学式3表示的化合物R1R2R3R4Cp(CH2)nNHR8反应:
[化学式1]
Figure BDA0001988511570000092
[化学式2]
Figure BDA0001988511570000101
[化学式3]
Figure BDA0001988511570000102
在上述式1至3的每一个中,M是Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数。
在本公开的一个实施方案中,R1、R2、R3和R4可以彼此相同或不同,并且可以包括例如氢(H)、甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但可不限于此。
在本公开的一个实施方案中,R1、R2、R3和R4中的每一个可以独立地为氢(H)、甲基或乙基,但可不限于此。
在本发明的一个实施方案中,R5可以是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基,但可不限于此。
在本公开的一个实施方案中,R6、R7和R8可以彼此相同或不同,并且可以包括例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但可不限于此。
在本公开的一个实施方案中,R6、R7和R8中的每一个可以独立地为甲基或乙基,但可不限于此。
在本公开的一个实施方案中,第五主族金属化合物可包括选自以下化合物的化合物,但可不限于此:
Figure BDA0001988511570000111
Figure BDA0001988511570000121
在本公开的一个实施方案中,第五主族金属化合物在室温下可以呈液态或粘性固态。
在本公开的一个实施方案中,反应可以在室温下进行,但可不限于此。
根据本发明的第三方面,提供了一种用于沉积含第五主族金属的层的前体组合物,其包含根据本发明的第一方面的第五主族金属化合物。
根据本公开内容的第一方面的第五主族金属化合物是第五主族金属化合物,由以下化学式1表示,并且在化学式1中,M是Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数:
[化学式1]
Figure BDA0001988511570000131
在本公开的一个实施方案中,R1、R2、R3和R4可以彼此相同或不同,并且可以包括例如氢(H)、甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但不限于此。
在本公开的一个实施方案中,R1、R2、R3和R4中的每一个可以独立地为氢(H)、甲基或乙基,但不限于此。
在本发明的一个实施方案中,R5可以是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基,但不限于此。
在本公开的一个实施方案中,R6、R7和R8可以彼此相同或不同,并且可以包括例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但不限于此。
在本公开的一个实施方案中,R6、R7和R8中的每一个可以独立地为甲基或乙基,但不限于此。
在本公开的一个实施方案中,第五主族金属化合物可包括选自以下化合物的化合物,但可不限于此:
Figure BDA0001988511570000141
Figure BDA0001988511570000151
在本公开的一个实施方案中,第五主族金属化合物在室温下可以是液态或粘性固态。
在本发明的一个实施方案中,含第五主族金属层可以是含钽的层或薄膜或含铌的层或薄膜,更具体地,钽金属层或薄膜、钽氧化物层或薄膜、钽氮化物层或薄膜、铌金属层或薄膜、铌氧化物层或薄膜、或铌氮化物层或薄膜,但可不限于此。
在本公开的一个实施方案中,含第五主族金属层可以是具有纳米级厚度的薄膜,例如为约1nm至约100nm、约1nm至约80nm、约1nm至约60nm、约1nm至约40nm、约1nm至约20nm、约1nm至约10nm、约1nm至约5nm、约5nm至约100nm、约10nm至约100nm、约30nm至约100nm、约40nm至约100nm、约60nm至约100nm、或约80nm至约100nm,但不限于此。
根据本发明的第四方面,提供一种沉积含第五主族金属的层的方法,包括使用用于沉积根据本发明第三方面的含第五主族金属层的前体组合物在基板上形成含第五主族金属层。
用于沉积根据本公开第三方面的含第五主族金属层的前体组合物包含本公开第一方面的第五主族金属化合物,和根据本公开第一方面的第五主族金属化合物,其由以下化学式1表示,在化学式1中,并且在化学式1中,M是Ta或Nb;R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基;R5是直链或支链的C3-6烷基;R6、R7和R8各自独立地为直链或支链的C1-4烷基;n是1至4的整数:
[化学式1]
Figure BDA0001988511570000161
在本公开的一个实施方案中,R1、R2、R3和R4可以彼此相同或不同,并且可以包括例如氢(H)、甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但可不限于此。
在本公开的一个实施方案中,R1、R2、R3和R4中的每一个可以独立地为氢(H)、甲基或乙基,但可不限于此。
在本发明的一个实施方案中,R5可以是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基,但可不限于此。
在本公开的一个实施方案中,R6、R7和R8可以彼此相同或不同,并且可以包括例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、仲丁基或其异构体,但可不限于此。
在本公开的一个实施方案中,R6、R7和R8中的每一个可以独立地为甲基或乙基,但可不限于此。
在本公开的一个实施方案中,第五主族金属化合物可包括选自以下化合物的化合物,但可不限于此:
Figure BDA0001988511570000171
Figure BDA0001988511570000181
在本公开的一个实施方案中,第五主族金属化合物在室温下可以呈液态或粘性固态。
在本发明的一个实施方案中,含第五主族金属层可以是含钽的层或薄膜或含铌的层或薄膜,更具体地,钽金属层或薄膜、钽氧化物层或薄膜、钽氮化物层或薄膜、铌金属层或薄膜、铌氧化物层或薄膜、或铌氮化物层或薄膜,但可不限于此。
在本公开的一个实施方案中,含第五主族金属层可以是具有纳米级厚度的薄膜,例如为约1nm至约100nm、约1nm至约80nm、约1nm至约60nm、约1nm至约40nm、约1nm至约20nm、约1m至约10nm、约1nm至约5nm、约5nm至约100nm、约10nm至约100nm、约30nm至约100nm、约40nm至约100nm、约60nm至约100nm、或约80nm至约100nm,但不限于此。
在本公开的实施例中,基板可以包括在其表面上形成的不均匀(波纹的)的基板,但可不限于此。例如,基板可以是包括具有约1或更大的纵横比(aspect ratio)和约1μm或更小的宽度的微不均匀性(波纹性)的基板,但可不限于此。例如,不均匀性(波纹性)可具有约1或更大、约1.5或更大、约2或更大、约2.5或更大、约3或更大、约3.5或更大、约4或更大、约4.5或更大、约5或更大、约5.5或更大、约6或更大、约6.5或更大、约7或更大、约7.5或更大、约8或更大、约8.5或更大、约9或更大、约9.5或更大、或约10或更大的纵横比,但可不限于此。例如,不均匀性(波纹性)可以具有约1μm或更小、约0.9μm或更小、约0.8μm或更小、约0.7μm或更小、约0.6μm或更小、约0.5μm或更小、约0.4μm或更小、约0.3μm或更小、约0.2μm或更小、或约0.1μm或更小的宽度,但不限于此。
在本公开的一个实施方案中,用于沉积含第五主族金属的层或薄膜的方法可以包括将用于沉积含第五主族金属层的前体组合物供应到位于沉积室内的基板表面并形成含第五主族金属的层或薄膜,但不限于此。例如,可以通过鼓泡法、气相质量流量控制器(MFC)方法、直接液体注入(DLI)法或者液体输送系统(LDS)用于递送前体组合物以沉积含第五主族金属层同时将其溶解于有机溶剂中,将用于沉积含第五主族金属层的前体组合物以气相转移到沉积室内的基板上。例如,用于在沉积室中转移用于沉积含第五主族金属层的前体组合物至基板上的运载气体或吹扫气体可包括选自氩气,氦气,氮气及其组合组成的组中的气体,但可不限于此。用于沉积层的方法可以采用本领域已知的任何方法和装置,并且可以根据需要使用另外的反应气体来执行,但可不限于此。
在本公开的一个实施方案中,可以通过化学气相沉积或原子层沉积来沉积含第五主族金属层,但可不限于此。例如,用于沉积含第五主族金属层或薄膜的方法可以通过化学气相沉积(CVD)、金属有机化学气相沉积(MOCVD)或原子层沉积(ALD),以及化学气相沉积(CVD)、金属有机化学气相沉积(MOCVD)或原子层沉积(ALD)可以使用本领域已知的沉积设备、沉积条件和另外的反应气体来执行,但可不限于此。
在本公开的一个实施方案中,含第五主族金属层可以包括含第五主族金属氧化物的层,并且用于沉积第五主族含金属氧化物层的反应气体可以包括含有选自水蒸汽(H2O)、氧气(O2)、臭氧(O3)及其组合的含氧材料的反应物气体,但可不限于此。
在本公开的一个实施方案中,含第五主族金属层可以包括第五主族含金属氮化物的层,并且用于沉积第五主族含金属氮化物层的反应气体可以包括含有选自氨(NH3)、肼、二甲基肼及其组合的含氮材料的反应物气体,但可不限于此。
在本发明的一个实施方案中,含第五主族金属层可以在室温至约700℃、或约50℃至约700℃的温度下沉积,但可以不是限于此。例如,沉积温度可以是室温至约700℃、约50℃至约700℃、约50℃至约600℃、约50℃至约500℃、约50℃至约400℃、约50℃至约300℃、约80℃至约700℃、约100℃至约700℃、约200℃至约700℃、约300℃至约700℃、约400℃℃至约700℃、约500℃至约700℃、约600℃至约700℃、或约100℃至约700℃、约100℃至约600℃、约100℃至约500℃℃、约100℃至约400℃、约100℃至约300℃、约150℃至约700℃、约150℃至约600℃、约150℃至约500℃、约150℃在约400℃、或约150℃至约300℃,但不限于此。
在下文中,将参考实施例更详细地解释本公开。然而,以下实施例仅用于说明以更好地理解本发明,但不限制本发明。
实施例
实施例1(Cp(CH2)2N(CH3))(tBuN)Ta(NEt2)的制备
将29g(0.062mol,1当量)的三(二乙基氨基)(叔丁基酰亚氨基)钽[(tBuN)Ta(NEt2)3)]和150mL甲苯放入火焰干燥的500mL Schlenk烧瓶中,然后在室温下搅拌。在室温下向烧瓶中滴加7.6g(0.062mol,1当量)环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,并在减压下蒸馏,得到19g(收率69%)的由下列化合物1表示的淡黄色液体化合物。对下列化合物1所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图1和图2。
[化合物1>
Figure BDA0001988511570000211
沸点(bp):110℃(0.4托);
(C16H30N3Ta)的元素分析计算值:C 43.15,H 6.79,N 9.43;实测值C 42.99,H6.81,N 9.49;
1H-NMR(400MHz,C6D6,25℃)δ5.967,5.839,5.702,5.654(m,4H,C5 H 4(CH2)2N(CH3)),δ4.275,3.687,2.434,2.361(m,4H,C5H4(CH 2)2N(CH3)),δ3.536(m,4H,N(CH 2CH3)2),δ3.389(s,3H C5H4(CH2)2N(CH 3)),δ1.312(s,9H,NC(CH 3)3),δ1.126(t,6H,N(CH2CH 3)2)。
实施例2(Cp(CH2)2N(CH3))(tBuN)Ta(NEtMe)的制备
将100g(0.235mol,1当量)的三(乙基甲基氨基)(叔丁基酰亚氨基)钽[(tBuN)Ta(NEtMe)3)]和300mL甲苯放入火焰干燥的1L Schlenk烧瓶中,然后在室温下搅拌。在室温下向烧瓶中滴加28.7g(0.235mol,1当量)的环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,并在减压下蒸馏,得到66g(收率65%)的由下列化合物2表示的淡黄色液体化合物。对下列化合物2所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图3和图4。
<化合物2>
Figure BDA0001988511570000221
沸点(bp):108℃(0.4托);
(C15H28N3Ta)的元素分析计算值:C 41.77,H 6.54,N 9.74;实测值:C 41.39,H6.61,N 9.69;
1H-NMR(400MHz,C6D6,25℃)δ5.977,5.832,5.734,5.632(m,4H,C5 H 4(CH2)2N(CH3)),δ4.249,3.704,2.441,2.358(m,4H,C5H4(CH 2)2N(CH3)),δ3.645(m,2H,N(CH 2CH3)(CH3)),δ3.407(s,3H,C5H4(CH2)2N(CH 3)),δ3.260(s,3H,N(CH2CH3)(CH 3)),δ1.315(s,9H,NC(CH 3)3),δ1.156(t,3H,N(CH2CH 3)(CH3))。
实施例3(Cp(CH2)2N(CH3))(tBuN)Ta(NMe2)的制备
将100g(0.260mol,1当量)三(二甲基氨基)(叔丁基酰亚氨基)钽[(tBuN)Ta(NMe2)3)]和300mL甲苯放入火焰干燥的500mL Schlenk烧瓶中。然后在室温下搅拌。在室温下向烧瓶中滴加32.1g(0.062mol,1当量)的环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,并在减压下蒸馏,得到77g(收率71%)的由下列化合物3表示的淡黄色液体化合物。对下列化合物3所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图5和图6。
<化合物3>
Figure BDA0001988511570000231
沸点(bp):107℃(0.4托);
(C14H26N3Ta)的元素分析计算值:C 40.29,H 6.28,N 10.07;实测值:C 40.39,H6.31,N 10.03;
1H-NMR(400MHz,C6D6,25℃)δ5.958,5.826,5.739,5.598(m,4H,C5 H 4(CH2)2N(CH3)),δ4.273,3.695,2.450,2.350(m,4H,C5H4(CH 2)2N(CH3)),δ3.429(s,3H,C5H4(CH2)2N(CH 3)),δ3.390(s,6H,N(CH 3)2),δ1.331(s,9H,NC(CH 3)3)。
实施例4(Cp(CH2)2N(CH3))(tBuN)Nb(NEt2)的制备
将100g(0.263mol,1当量)的三(二乙基氨基)(叔丁基酰亚氨基)铌[(tBuN)Nb(NEt2)3)]和300mL甲苯放入火焰干燥的500mL Schlenk烧瓶中。然后在室温下搅拌。在室温下向烧瓶中滴加32.4g(0.263mol,1当量)环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,在减压下蒸馏,得到64g(收率68%)由下列化合物4表示的淡黄色液体化合物。对下列化合物4所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图7和图8。
<化合物4>
Figure BDA0001988511570000241
沸点(bp):110℃(0.4托);
(C16H30N3Nb)的元素分析计算值:C 53.78,H 8.46,N 11.76;实测值:C 53.65,H8.51,N 11.81;
1H-NMR(400MHz,C6D6,25℃)δ5.981,5.813,5.728,5.698(m,4H,C5 H 4(CH2)2N(CH3)),δ4.134,3.594,2.508,2.389(m,4H,C5H4(CH 2)2N(CH3)),δ3.530(m,4H,N(CH 2CH3)2),δ3.386(s,3H,C5H4(CH2)2N(CH 3)),δ1.261(s,9H,NC(CH 3)3),δ1.133(t,6H,N(CH2CH 3)2)。
实施例5(Cp(CH2)2N(CH3))(tBuN)Nb(NEtMe)的制备
将100g(0.296mol,1当量)的三(乙基甲基氨基)(叔丁基酰亚氨基)铌[(tBuN)Nb(NEtMe)3)]和300mL甲苯放入火焰干燥的500mL Schlenk烧瓶中。然后在室温下搅拌。在室温下向烧瓶中滴加36.4g(0.296mol,1当量)的环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,在减压下蒸馏,得到65g(收率64%)由下列化合物5表示的淡黄色液体化合物。对下列化合物5所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图9和图10。
<化合物5>
Figure BDA0001988511570000251
沸点(bp):109℃(0.4托);
(C15H28N3Nb)元素分析计算值:C 52.48,H 8.22,N 12.24;实测值C 52.39,H 8.27,N 12.21;
1H-NMR(400MHz,C6D6,25℃)δ5.980,5.812,5.719,5.708(m,4H,C5 H 4(CH2)2N(CH3)),δ4.149,3.632,2.515,2.404(m,4H,C5H4(CH 2)2N(CH3)),δ3.588(m,2H,N(CH 2CH3)(CH3)),δ3.405(s,3H C5H4(CH2)2N(CH 3)),δ3.223(s,3H,N(CH2CH3)(CH 3)),δ1.268(s,9H,NC(CH 3)3),δ1.157(t,3H,N(CH2CH 3)(CH3))。
实施例6(Cp(CH2)2N(CH3))(tBuN)Nb(NMe2)的制备
将100g(0.337mol,1当量)三(二甲基氨基)(叔丁基酰亚氨基)铌[(tBuN)Nb(NMe2)3)]和300mL甲苯放入火焰干燥的500mL Schlenk烧瓶中。然后在室温下搅拌。在室温下向烧瓶中滴加41.6g(0.337mol,1当量)环戊二烯基乙基甲胺[Cp(CH2)2NH(CH3)]后,将反应溶液的温度升至60℃,然后搅拌反应溶液4小时。减压下从反应溶液中除去溶剂,在减压下蒸馏,得到70g(收率63%)由下列化合物6表示的淡黄色液体化合物。对下列化合物6所表示的化合物的热重分析(TGA)和差示扫描量热法(DSC)的结果分别参照图11和图12。
<化合物6>
Figure BDA0001988511570000261
沸点(bp):108℃(0.4托);
(C14H26N3Nb)元素分析计算值:C 51.07,H 7.96,N 12.76;实测值C 52.01,H 7.92,N 12.81:
1H-NMR(400MHz,C6D6,25℃)δ5.976,5.811,5.746,5.679(m,4H,C5 H 4(CH2)2N(CH3)),δ4.188,3.607,2.530,2.413(m,4H,C5H4(CH 2)2N(CH3)),δ3.416(s,3H C5H4(CH2)2N(CH 3)),δ3.325(s,6H,N(CH 3)2),δ1.275(s,9H,NC(CH 3)3)。
实施例7使用(Cp(CH2)2N(CH3))(tBuN)Ta(NEt2)、(Cp(CH2)2N(CH3))(tBuN)Ta(NEtMe)、和(Cp(CH2)2N(CH3))(tBuN)Ta(NMe2)化合物和臭氧(O3)气体通过原子层沉积形成钽氧化物层
使用实施例1至实施例3中制备的化合物作为前体和臭氧(O3)气体通过原子层沉积(ALD)进行形成钽氧化物层的试验。在这种情况下,硅(Si)晶片用作基板。将基板在300℃至350℃的温度下加热。此外,将不锈钢容器中的每种前体化合物在100℃的温度下加热,并使氩(Ar)气体以60sccm的流速通过容器,以将每种前体化合物供入ALD反应器中进行原子层沉积。ALD反应器中的内部压力保持在3托。原子层沉积循环,其中在将前体化合物的气体供应至ALD反应器5秒后,供应氩气5秒,然后供应臭氧(O3)气体5秒并再次供应氩气持续5秒,重复200次。根据上述方法形成的每种氧化钽薄膜的每个循环的厚度示于图13。如图13所示,观察到在基板温度范围为300℃至350℃之间时每个ALD源材料供应循环的膜生长通常是均匀的。
比较例1使用(叔丁基酰亚氨基)三(二乙基氨基)钽(TBTDET)[(tBuN)Ta(NEt2)3]化合物和臭氧(O3)气体通过原子层沉积形成氧化钽层
在与实施例7相同的条件下通过原子层沉积形成氧化钽层,不同之处在于使用TBTDET作为前体,并且将不锈钢容器中的前体化合物在70℃的温度下加热。根据基板温度的通过原子层沉积的膜生长如图13所示。与实施例7的结果不同,在使用TBTDET的原子层沉积中,在较高的基板温度下观察到更多的膜生长。这是因为在325℃或350℃下由于TBTDET的热解而形成较厚的膜,并且当发生热解时,在325℃或350℃下在具有很高纵横比的图案上不能形成均匀厚度的钽氧化物层。
从实施例7和对比例1证实,与使用TBTDET气体和臭氧气体的原子层沉积相比,分别使用实施例1至实施例3中制备的化合物气体和臭氧气体在基板温度为300℃至350℃之间的原子层沉积更有利于在包括严重的不均匀性(即高纵横比图案)的基板的整个表面形成均匀厚度的钽氧化物层的目的。
提供本公开的以上描述是为了说明的目的,并且本领域技术人员将理解,可以在不改变本公开的技术概念和基本特征的情况下进行各种改变和修改。因此,清楚的是,上述示例在所有方面都是说明性的,并不限制本公开。例如,描述为单一类型的每个组件可以以分散方式实现。同样,描述为分散的组件可以以组合的方式实现。
本公开的范围由所附权利要求限定,而不是由实施例的具体实施方式限定。应当理解,从权利要求的含义和范围及其等同物构思的所有修改和实施例都包括在本公开的范围内。

Claims (12)

1.一种第五主族金属化合物,由以下化学式1表示:
[化学式1]
Figure FDA0002385291390000011
其中在所述化学式1中,
M是Ta或Nb,
R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基,
R5是直链或支链的C3-6烷基,
R6、R7和R8各自独立地为直链或支链的C1-4烷基,
n是1至4的整数,并且
环戊二烯基环的一个碳原子与NR8的氮原子通过亚烷基彼此桥接。
2.根据权利要求1所述的化合物,其中R1、R2、R3和R4各自独立地为氢、甲基或乙基。
3.根据权利要求1所述的化合物,其中R5是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基。
4.根据权利要求1所述的化合物,其中R6、R7和R8各自独立地为甲基或乙基。
5.一种制备由以下化学式1表示的第五主族金属化合物的方法,其包括:
使由以下化学式2表示的化合物(R5N=)M(NR6R7)3与由以下化学式3表示的化合物R1R2R3R4Cp(CH2)nNHR8反应:
[化学式1]
Figure FDA0002385291390000021
[化学式2]
Figure FDA0002385291390000022
[化学式3]
Figure FDA0002385291390000023
其中,在上述化学式1至3的每一个中,
M是Ta或Nb,
R1、R2、R3和R4各自独立地为氢、或者直链或支链的C1-4烷基,
R5是直链或支链的C3-6烷基,
R6、R7和R8各自独立地为直链或支链的C1-4烷基,
n是1至4的整数,并且
Cp是环戊二烯基。
6.根据权利要求5所述的方法,其中R1、R2、R3和R4各自独立地为氢、甲基或乙基。
7.根据权利要求5所述的方法,其中R5是正丙基、异丙基、正丁基、叔丁基、异丁基、仲丁基、正戊基、叔戊基、异戊基、仲戊基、新戊基、或3-戊基。
8.根据权利要求5所述的方法,其中R6、R7和R8各自独立地为甲基或乙基。
9.一种用于沉积含第五主族金属层的前体组合物,其包含根据权利要求1至4中任一项所述的第五主族金属化合物。
10.一种沉积含第五主族金属层的方法,包括使用根据权利要求9所述的用于沉积含第五主族金属层的前体组合物在基板上形成含第五主族金属层。
11.根据权利要求10所述的方法,其中所述含第五主族金属层通过化学气相沉积或原子层沉积进行沉积。
12.根据权利要求10所述的方法,其中所述基板包括在其表面上形成的不均匀部分。
CN201780055127.5A 2016-09-08 2017-08-23 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法 Active CN109689666B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR20160115708 2016-09-08
KR10-2016-0115708 2016-09-08
PCT/KR2017/009188 WO2018048124A1 (ko) 2016-09-08 2017-08-23 5족 금속 화합물, 이의 제조 방법, 이를 포함하는 막 증착용 전구체 조성물, 및 이를 이용하는 막의 증착 방법

Publications (2)

Publication Number Publication Date
CN109689666A CN109689666A (zh) 2019-04-26
CN109689666B true CN109689666B (zh) 2020-05-05

Family

ID=61561458

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780055127.5A Active CN109689666B (zh) 2016-09-08 2017-08-23 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法

Country Status (6)

Country Link
US (1) US10577385B2 (zh)
JP (1) JP6803460B2 (zh)
KR (1) KR101841444B1 (zh)
CN (1) CN109689666B (zh)
TW (1) TWI714802B (zh)
WO (1) WO2018048124A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102015276B1 (ko) * 2018-02-08 2019-08-28 주식회사 메카로 유기금속화합물 및 이를 이용한 박막
EP3976852A4 (en) * 2019-06-05 2023-11-29 Versum Materials US, LLC NEW PRECURSORS OF GROUPS V AND VI TRANSITION METALS FOR THIN FILM DEPOSITION
KR20210041830A (ko) 2019-10-08 2021-04-16 에스케이트리켐 주식회사 5족 금속 함유 박막 형성용 전구체 및 이를 이용한 5족 금속 함유 박막 형성 방법 및 상기 5족 금속 함유 박막을 포함하는 반도체 소자.
KR20220053482A (ko) * 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
KR102621779B1 (ko) * 2021-08-31 2024-01-08 주식회사 이지티엠 박막 증착을 위한 니오비움 전구체 화합물 및 이를 이용한 니오비움 함유 박막의 형성 방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997010296A1 (fr) * 1995-09-13 1997-03-20 Mitsui Petrochemical Industries, Ltd. Composition de resine et son emploi
JPH09194526A (ja) * 1996-01-19 1997-07-29 Ube Ind Ltd 共役ジエン重合用触媒
US5981667A (en) * 1996-11-12 1999-11-09 Ube Industries, Ltd. Impact-resistant polystyrene resin composition
JPH10306116A (ja) * 1997-05-07 1998-11-17 Ube Ind Ltd ブタジエン重合用触媒
US7053159B2 (en) * 2000-07-04 2006-05-30 Mitsui Chemicals, Inc. Process for producing polar olefin copolymer and polar olefin copolymer obtained thereby
JP5375092B2 (ja) * 2006-05-22 2013-12-25 宇部興産株式会社 ポリブタジエンの製造方法
WO2010012595A1 (en) * 2008-08-01 2010-02-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a tantalum-containing layer on a substrate
EP2174942B1 (en) * 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법
US9315896B2 (en) * 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films
US9956548B2 (en) * 2011-12-12 2018-05-01 Chevron Phillips Chemical Company Lp Preparation of an olefin oligomerization catalyst
KR20130078965A (ko) * 2012-01-02 2013-07-10 에스케이하이닉스 주식회사 다성분계 유전막 형성 방법 및 반도체장치 제조 방법
US9321854B2 (en) * 2013-10-29 2016-04-26 Exxonmobil Chemical Patents Inc. Aluminum alkyl with C5 cyclic and pendent olefin polymerization catalyst
US20160307904A1 (en) * 2015-04-16 2016-10-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of niobium-containing films

Also Published As

Publication number Publication date
CN109689666A (zh) 2019-04-26
US10577385B2 (en) 2020-03-03
TWI714802B (zh) 2021-01-01
WO2018048124A1 (ko) 2018-03-15
JP2019534245A (ja) 2019-11-28
TW201827445A (zh) 2018-08-01
US20190202847A1 (en) 2019-07-04
JP6803460B2 (ja) 2020-12-23
KR101841444B1 (ko) 2018-03-23
KR20180028371A (ko) 2018-03-16

Similar Documents

Publication Publication Date Title
CN109689666B (zh) 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法
TWI463032B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
USRE45124E1 (en) Methods of atomic layer deposition using titanium-based precursors
KR101498499B1 (ko) 전구체 조성물 및 방법
JP5275243B2 (ja) 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
US20140235054A1 (en) Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions
TW201219405A (en) Molybdenum (IV) amide precursors and use thereof in atomic layer deposition
JP2015015483A (ja) 金属含有膜を被着させるための金属エノラート前駆体
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
CN114667290A (zh) 钇或镧系金属前体物化合物、包含其的成膜组合物以及使用其形成含钇或镧系金属的膜的方法
US9034761B2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
CN113943321A (zh) 用于薄膜沉积的第5族金属化合物和使用该化合物形成含第5族金属的薄膜的方法
CN105392917A (zh) 使用钨化合物沉积含钨膜的方法和用于沉积含钨膜的包含钨化合物的前体组合物
KR102504310B1 (ko) 코발트 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조방법
KR102557282B1 (ko) 신규 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조방법
KR102211654B1 (ko) 텅스텐 전구체 화합물 및 이를 이용하여 제조된 텅스텐 함유 박막
TWI593820B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
KR101965217B1 (ko) 탄탈럼 화합물, 이의 제조 방법, 이를 포함하는 막 증착용 전구체 조성물, 및 이를 이용하는 막의 증착 방법
KR20230048755A (ko) 5족 금속 화합물, 이를 포함하는 증착용 전구체 조성물 및 이를 이용하여 박막을 형성하는 방법
WO2024050202A1 (en) Multiple substituted cyclopentadienyl rare-earth complexes as precursors for vapor phase thin film deposition processes
JP2023502418A (ja) 金属含有膜を選択的に形成するための化合物および方法
TW202334479A (zh) 鉬前驅物化合物、其製備方法以及使用其形成含鉬薄膜之方法
TW202311172A (zh) 用於薄膜沉積的鈮前體化合物和用其形成含鈮薄膜的方法
JP2022546822A (ja) 金属窒化物薄膜の形成方法
KR20210056848A (ko) 니오븀 질화물 박막의 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract

Application publication date: 20190426

Assignee: Jiangsu Xianke semiconductor new materials Co.,Ltd.

Assignor: UP CHEMICAL Co.,Ltd.

Contract record no.: X2022990000316

Denomination of invention: Fifth main group metal compound, its preparation method, film deposition precursor composition containing it and film deposition method using it

Granted publication date: 20200505

License type: Exclusive License

Record date: 20220621

EE01 Entry into force of recordation of patent licensing contract