CN109427593A - 制造半导体装置的方法 - Google Patents

制造半导体装置的方法 Download PDF

Info

Publication number
CN109427593A
CN109427593A CN201810987391.9A CN201810987391A CN109427593A CN 109427593 A CN109427593 A CN 109427593A CN 201810987391 A CN201810987391 A CN 201810987391A CN 109427593 A CN109427593 A CN 109427593A
Authority
CN
China
Prior art keywords
layer
those
semiconductor layer
semiconductor
semiconductor layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810987391.9A
Other languages
English (en)
Other versions
CN109427593B (zh
Inventor
翁翊轩
潘正圣
刘致为
蓝偟翔
蔡仲恩
吕芳谅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427593A publication Critical patent/CN109427593A/zh
Application granted granted Critical
Publication of CN109427593B publication Critical patent/CN109427593B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Abstract

一种制造半导体装置的方法包含在基板上形成具有交替的多个第一半导体层和多个第二半导体层的堆叠的鳍状结构。多个第一半导体层和多个第二半导体层包含沿第一半导体层和第二半导体层的长度的第二部分的任一侧上的第一部分。多个第一半导体层和多个第二半导体层是由不同的材料形成。移除第一半导体层的第二部分以形成开口。形成掩模层于开口上方的最上层的第二半导体层的第二部分上方。利用来自辐射源的辐射照射第一半导体层和第二半导体层的第一部分,以使来自第一半导体层和第二半导体层的第一部分的材料彼此结合。

Description

制造半导体装置的方法
技术领域
本揭露涉及制造半导体装置的方法。
背景技术
随着半导体工业进入纳米技术制成节点以追求更高的元件密度、更高的性能和更低的成本,来自制造和设计问题的挑战导致了三维设计的发展,例如包括鳍式场效应晶体管(fin field effect transistor,Fin FET)和栅极全环场效应晶体管的多栅极场效应晶体管(multi-gate field effect transistor,multi-gate FET)。在鳍式场效应晶体管中,栅极电极与通道区域的三个侧表面相邻,并且其间插入栅极介电层。因为栅极结构在三个表面上围绕(包裹)鳍片,所以晶体管基本上具有三个栅极来控制通过鳍片或通道区域的电流。不幸的是,位于通道底部的第四侧远离栅极电极,因此不在栅极紧密地控制之下。相较之下,在栅极全环场效应晶体管中,通道区域的所有侧表面都被栅极电极包围,这将允许在通道区域中更充分的耗尽,并且由于更陡峭的次临界电流摆幅(sub-threshold currentswing,SS)和更小的漏极引发位能障下降(drain induced barrier lowering,DIBL)而导致更少的短通道效应(short-channel effects)。随着晶体管尺寸不断缩小到10至15纳米以下的技术节点,因此需要更进一步改进栅极全环场效应晶体管。
发明内容
本揭露提供一种制造半导体装置的方法,包含:形成鳍状结构于基板上,鳍状结构具有交替的多个第一半导体层和多个第二半导体层的堆叠,其中第一半导体层和第二半导体层包含在沿着第一半导体层和第二半导体层的长度的第二部分的任一侧上的多个第一部分,以及其中第一半导体层和第二半导体层由不同的材料形成;移除第一半导体层的第二部分以形成多个开口;形成掩模层于开口上方的最上层的第二半导体层的第二部分上;使用来自辐射源的辐射照射第一半导体层和第二半导体层的第一部分,以使来自第一半导体层和第二半导体层的第一部分的材料彼此结合。
本揭露提供另一种制造半导体装置的方法,包含:形成第一半导体层于基板上;形成第二半导体层于第一半导体层上;形成另一个第一半导体层于第二半导体层上;形成另一个第二半导体层于另一个第一半导体层上,其中第一半导体层和第二半导体层由不同的材料形成;图案化半导体层以形成鳍状结构;其中鳍状结构包含多个通道区域和在通道区域的每一侧上的多个源极/漏极区域;移除通道区域中一部分的第一半导体层;以及选择性地将第一半导体层和第二半导体层的源极/漏极区域暴露于激光。
本揭露提供另一种制造半导体装置的方法,包含:形成第一半导体层于基板上;形成第二半导体层于第一半导体层上;形成另一个第一半导体层于第二半导体层上,其中第一半导体层和第二半导体层由不同的材料形成;图案化半导体层以形成鳍状结构,其中鳍状结构包含通道区域与在通道区域的每一侧上的多个源极/漏极区域;移除通道区域中与基板相邻的第一半导体层的一部分;移除通道区域中第二半导体层的一部分;以及选择性地将第一半导体层和第二半导体层的源极/漏极区域暴露于激光。
附图说明
当结合附图阅读时,根据以下详细描述可以最好地理解本揭露。需要强调的是,根据行业的标准惯例,各种特征不是按比例绘制的且仅是用于说明目的。实际上,为了清楚讨论,各种特征的尺寸可以任意增加或减小。
图1绘示根据本揭露的实施例于制造过程的阶段之一的栅极全环场效应晶体管半导体装置的等距视图;
图2是根据本揭露的实施例中制造半导体装置的方法的流程图;
图3绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图4绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图5绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图6绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图7绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图8绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图9绘示根据本揭露的实施例中在源极/漏极应力源区域相互混合的硅/锗(Si/Ge);
图10绘示根据本揭露的部分实施例中透过X射线绕射将锗(Ge)层转换为硅锗(SiGe);
图11是根据本揭露的实施例中制造半导体装置的方法的流程图;
图12绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图13绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图14绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图15绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图16绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图17绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图18绘示根据本揭露的实施例中半导体场效应晶体管装置的横截面图;
图19是根据本揭露的实施例中制造半导体装置的方法的流程图;
图20绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图21绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图22绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图23绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图24绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图25绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图26绘示根据本揭露的实施例中半导体场效应晶体管装置的横截面图;
图27绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图28绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图29绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图30绘示了根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图31绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图32绘示根据本揭露的实施例中半导体场效应晶体管装置的横截面图;
图33绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图34绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图35绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图36绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图37绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图38绘示根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一的横截面图;
图39绘示根据本揭露的实施例中半导体场效应晶体管装置的横截面图。
具体实施方式
应该理解的是,以下公开内容提供了用于实现本揭露的不同特征的许多不同实施例或示例。以下描述具体实施例或示例的元件和配置以简化本揭露。当然,这些仅仅是例子,并不意在限制。例如,元件的尺寸不限于所公开的范围或数值,而是可以取决于制成条件和/或装置的期望特性。此外,在下面的描述中,在第二特征上方或之上形成第一特征可以包括其中第一特征和第二特征形成为直接接触的实施例,并且还可以包括其中可以形成介于第一特征和第二特征之间的额外特征,使得第一特征和第二特征可以不直接接触。为了简单和清楚起见,各种特征可以以不同比例任意绘制。
此外,为了便于描述,在此可以使用例如“在...之下”、“在...下方”、“低于”、“在...之上”、“高于”等的开口相对术语来描述一个元件或特征与如附图所示的另一个元件或特征的关系。除了附图中描绘的方向之外,开口相对术语旨在涵盖使用或操作中的装置的不同方位。此装置可以以其他方式定向(旋转90度或在其他方位)并且同样可以相应地解释这里使用的开口关系描述符号。另外,术语“由...构成”可以表示“包含”或“由...组成”。在本揭露中,惯用语“A、B和C之一”意指“A、B和/或C”(A、B、C、A和B、A和C、B和C或A、B和C),并且不代表来自A的一个元素、来自B的一个元素和来自C的一个元素,除非另有说明。
在本揭露中,提供了一种用于制造栅极全环场效应晶体管和堆叠的通道场效应晶体管的方法。应注意的是,在本揭露中,源极和漏极可互换使用,并且其结构基本上相同。
本揭露提供了一种透过对堆叠的半导体层进行选择性激光退火以形成堆叠的栅极全环场效应晶体管的源极/漏极应力源的简化制程。源极/漏极应力源中的诱导应变可以透过堆叠层的厚度和组成来调整。使用选择性激光退火可以在相同的结构中获得压缩和拉伸应变。可以透过激光退火同时完成掺杂剂的活化和源极/漏极应力的形成。
图1绘示根据本揭露的实施例中绘示制造过程中阶段之一的栅极全环场效应晶体管半导体装置的等距视图。沿X方向延伸的一个或多个栅极电极结构150设置在沿Y方向延伸的一个或多个鳍状结构120上方。X方向基本上垂直于Y方向。鳍状结构120形成在半导体基板100上方。鳍状结构120的下部嵌入隔离绝缘层125中,并且栅极电极结构150缠绕半导体纳米线102。
图2是根据本揭露的实施例中制造半导体装置的方法10的流程图。在操作S12中,形成具有交替的多个第一半导体层和多个第二半导体层的叠层的鳍状结构于基板上。在部分实施例中,第一半导体层和第二半导体层包括沿着第一半导体层和第二半导体层的长度的第二部分的任一侧上的第一部分。在部分实施例中,第一半导体层和第二半导体层由不同的材料形成。接下来,在操作S14中,移除第一半导体层的第二部分以形成开口。随后在操作S16中,在此开口上方的最上层的第二半导体层的第二部分上形成掩模层。然后在操作S18中,利用来自辐射源的辐射照射第一半导体层和第二半导体层的第一部分,以使来自第一半导体层和第二半导体层的第一部分的材料彼此结合。
图3至图8绘示根据本揭露实施例中用于制造栅极全环场效应晶体管的示例性顺序过程,包括图2的流程图所示的方法。应该理解,可以在图3至图8所示的过程之前、期间和之后提供额外的操作,并且对于此方法的其他实施例,下面所描述的一些操作可以被替换或消除。操作/过程的顺序可以互换。
图3绘示对应于图1的线B-B的横截面图,其中图1是根据本揭露的实施例中制造半导体场效应晶体管装置的各个阶段之一。如图3所示,提供了半导体基板100。在部分实施例中,基板100在至少其表面部分上包括单晶半导体层。基板100可以包括单晶半导体材料,例如但不限于硅(Si)、锗(Ge)、硅锗(SiGe)、镓砷(GaAs)、铟锑(InSb)、镓磷(GaP)、镓锑(GaSb)、铟铝砷(InAlAs)、铟镓砷(InGaAs)、镓锑磷(GaSbP)、镓砷锑(GaAsSb)和铟磷(InP)。在部分实施例中,基板100由结晶硅(crystalline Si)制成。
基板100可以在其表面区域中包括一个或多个缓冲层(未绘示)。缓冲层可用于逐渐将基板的晶格常数改变为源极/漏极区域的晶格常数。缓冲层可以由磊晶生长的单晶半导体材料形成,例如但不限于硅(Si)、锗(Ge)、锗锡(GeSn)、硅锗(SiGe)、镓砷(GaAs)、铟锑(InSb)、镓磷(GaP)、镓锑(GaSb)、铟铝砷(InAlAs)、铟镓砷(InGaAs)、镓锑磷(GaSbP)、镓砷锑(GaAsSb)、镓氮(GaN)、镓磷(GaP)和铟磷(InP)。
杂质离子(掺杂剂)被注入到硅基板100中以形成阱区(未绘示)。执行离子注入以防止贯穿效应(punch-through effect)。基板100可以包括已经适当地掺杂杂质(例如,p型或n型导电性)的各种区域。掺杂剂例如包含是用于n型鳍状场效应晶体管的硼(BF2)和用于p型鳍状场效应晶体管的磷。
在本揭露的一个实施例中,如图3所示,准备一层堆叠。第一半导体层(第一缓冲层)101设置在基板100上。第二半导体层(第一通道层)102设置在第一半导体层101上。配置另一第一半导体层(第二缓冲层)103于第二半导体层102上。另一第二半导体层(第二通道层)104设置在另一第一半导体层103上方。
在部分实施例中,第一半导体层101、103和第二半导体层102、104交替地堆叠,使得其具有多于两个的层堆叠,其中每个层堆叠皆有第一半导体层和第二半导体层。在部分实施例中,每个第二半导体层102、104(其变成纳米线或通道层)可以由不同的材料形成。在部分实施例中,第二半导体层102、104的晶格常数大于第一半导体层101、103的晶格常数。在其他实施例中,第二半导体层102、104的晶格常数小于第一半导体层101、103的晶格常数。
在部分实施例中,第一半导体层101、103和第二半导体层102、104由不同的材料制成,此不同的材料是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在部分实施例中,第一半导体层101、103和第二半导体层102、104透过磊晶形成。在部分实施例中,硅锗(SiGe)是SixGe1-x,其中0.1≤x≤0.9。
在部分实施例中,第一半导体层101、103由第一半导体材料形成。在部分实施例中,第一半导体材料包括第一IV族元素和第二IV族元素。IV族元素是选自于由碳(C)、硅(Si)、锗(Ge)、锡(Sn)和铅(Pb)所组成的群组。在部分实施例中,第一IV族元素是硅(Si)并且第二IV族元素是锗(Ge)。在部分实施例中,第一半导体材料是Si1-xGex,其中0.3≤x≤0.9,并且在其它实施例中,0.4≤x≤0.7。
在部分实施例中,第二半导体层102、104由第二半导体材料形成。在部分实施例中,第二半导体材料包括第一IV族元素和第二IV族元素。在部分实施例中,第一IV族元素是硅(Si)并且第二IV族元素是锗(Ge)。在部分实施例中,第一IV族元素和第二IV族元素在第二半导体材料中的含量不同于在第一半导体材料中的含量。在部分实施例中,第一半导体材料中锗(Ge)的含量大于第二半导体材料中的锗(Ge)的含量。在部分实施例中,第二半导体材料是Si1-yGey,其中0.1≤y≤0.5且x>y,并且在其他实施例中,0.2≤y≤0.4。
第一半导体层101、103和第二半导体层102、104可以透过一个或多个磊晶生长或磊晶(epitaxial,epi)制程形成。磊晶制程包括化学气相沉积(chemical vapordeposition,CVD)沉积技术(例如气相磊晶(vapor-phase epitaxy,VPE)和/或超高真空化学气相沉积(ultra-high vacuum chemical vapor deposition,UHV-CVD))、分子束磊晶和/或其他合适的制程。
在部分实施例中,第一半导体层101、103和第二半导体层102、104的厚度在约3nm至约100nm的范围内。在部分实施例中,第二半导体层的厚度t2和第一半导体层的厚度t1的关系为t2/t1=0.2至5。
如图4所示,图案化层堆叠以形成鳍状结构,然后透过移除第一半导体层101、103的部分的通道区域以随后在层堆叠中形成通道。在部分实施例中,透过选择性地蚀刻第一半导体层101、103以去除部分的通道区域而形成开口130。在部分实施例中,使用合适的光刻和蚀刻技术形成开口130。在部分实施例中,在形成开口130之后,使用栅极替换技术。在栅极替代技术中,在开口130中形成包括多晶硅栅极电极的虚设栅极电极结构,并且在对源极/漏极区域执行随后的处理之后,去除虚设栅极电极结构,并且在开口130中形成栅极电极结构。
在部分实施例中,鳍状结构包括多个鳍片,包括虚设鳍片以改善在处理期间的图案保真度。在部分实施例中,使用例如但不限于氢氧化铵(NH4OH)、四甲基氢氧化铵(tetramethylammonium hydroxide,TMAH)、乙二胺邻苯二酚(ethylenediaminepyrocatechol,EDP)或氢氧化钾(KOH)溶液的湿蚀刻剂对第一半导体层101、103进行选择性蚀刻。
如图5所示,随后在第二半导体层102、104的通道区域上方形成掩模层105。在部分实施例中,掩模层105由例如金属的反射材料制成。在部分实施例中,用于掩模层105的金属是选自于由铝、镍、金、铂、铬、铜、锌和锆所组成的群组。掩模层材料可以透过化学气相沉积、原子层沉积(atomic layer deposition,ALD)、物理气相沉积(physical vapordeposition,PVD)、电镀或其他合适的方法来沉积。在部分实施例中,在沉积掩模层材料之后,透过合适的光刻和蚀刻技术形成掩模层105。在部分实施例中,掩模层105具有实质上等于通道长度的宽度,并且具有从约20nm至约100nm的厚度。
如图6所示,随后使用来自辐射源的辐射110选择性地照射层堆叠。在部分实施例中,辐射源是激光或闪光灯,包括氩和氙闪光灯。层堆叠暴露于辐射110达大于0s至约100ms的时间段。具体地,未被掩模层105覆盖的层堆叠的每个部分暴露大于0s到约100ms的时间段。在部分实施例中,层堆叠的每个部分暴露于辐射110约1ns至约1ms。在其他实施例中,层堆叠的每个部分暴露于辐射110约5ns至约100μs。在其他实施例中,层堆叠的每个部分暴露于辐射110约10ns至约100ns。在暴露于辐射期间,层堆叠的暴露部分经受超快速加热并达到高达2000℃的温度。在其他实施例中,层堆叠的暴露部分达到高达1100℃的温度。由于掩模层105反射辐射,第二半导体层102、104由掩模层105覆盖或在掩模层105下面的部分基本上不被加热。
在部分实施例中,辐射的功率密度为约1mJ/cm2至约900mJ/cm2。在部分实施例中,辐射110是来自激光的激光。在部分实施例中,激光的波长在约150nm至约2300nm的范围内。在其他实施例中,激光照射使用的波长为约193nm至约1878nm(λ=1878nm相当于锗(Ge)的能带隙)。在部分实施例中,使用具有约532nm波长的激光束。在部分实施例中,用于激光退火的功率密度为约1mJ/cm2至约900mJ/cm2。在部分实施例中,辐射110的功率密度在约250mJ/cm2至约300mJ/cm2的范围内。在部分实施例中,在暴露于辐射期间发生动态表面退火。在动态表面退火中,暴露的半导体层的掺杂区域的激活发生在没有掺杂剂扩散的情况下。在部分实施例中,激光脉冲的半峰全宽(full-width-at-half-maximum,FWHM)为大约1ns至大约1ms。在部分实施例中,层堆叠上的特定点暴露于激光束从约10ns至约100μs。激光束的形状可以是任何形状,包括线形、椭圆形、圆形等。
在部分实施例中,激光具有大约532nm的波长,并且激光的通量范围从大约10mJ/cm2至大约80mJ/cm2。因为掩模层105阻挡或反射辐射110,所以层堆叠的源极/漏极区域被辐射选择性地照射。可以在环境空气、干净的干燥空气、氮气(N2)、氮气和氢气(N2+H2)、氢气(H2)或惰性气体(例如氦气(He)、氖气(Ne)和氩气(Ar))中进行照射。
如图7所示,辐射110对鳍状结构的源极/漏极区域进行退火,使第一半导体层101、103和第二半导体层102、104结合并形成源极/漏极应力源区域106。例如,如果第一半导体层101、103包括硅锗(SiGe)并且第二半导体层102、104包括锗(Ge),则激光退火使来自硅锗(SiGe)层的硅(Si)扩散到锗(Ge)层中,从而产生硅锗(SiGe)应力源区域106。在部分实施例中,应力源区域106具有实质上均匀的成分(SixGe1-x,其中x在源极/漏极区域内是恒定的),并且在其他实施例中,应力源区域106的成分是渐变(SixGe1-x,其中x随着横跨应力源区域106的厚度的增加从0变化到1)。在部分实施例中,源极/漏极应力源区域106的厚度ts和第二半导体层的厚度t2关系为ts/t2=(0.15~6)*n,其中n为第二半导体层104(作为通道层)的数量。
在部分实施例中,在激光退火之前,使用合适的掺杂剂掺杂源极/漏极区域。在这样的实施例中,激光退火附加地激活源极/漏极区域中的掺杂剂。在部分实施例中,源极/漏极区域掺杂有高达2×1020个掺杂剂/cm3。掺杂剂可以是n型或p型掺杂剂,包括砷(As)、锑(Sb)、磷(P)、硼(B)、镓(Ga)和铝(Al)中的一种或多种。在部分实施例中,当磊晶形成第一和/或第二半导体层101、102、103、104时,使用原位掺杂掺入掺杂剂。在其他实施例中,使用离子注入、电浆掺杂、固相掺杂或其他掺杂技术。
在部分实施例中,通道长度Lc为大约10nm至大约50nm,并且每个源极/漏极应力源的长度Lsd为大约50nm至大约100nm。
照射之后,使用合适的蚀刻技术去除掩模层105并且执行额外的处理。额外的处理包括在通道区域中形成围绕纳米线102、104的栅极电极结构150,以形成栅极全环场效应晶体管(GAA FET),如图8所示。栅极电极结构150包括环绕纳米线102、104的栅极介电质层155和配置在环绕纳米线102、104的栅极介电质层155上的栅极电极层160。在部分实施例中,在栅极介电质层155和栅极电极层160之间形成界面层和功函数调整层。
在部分实施例中,栅极介电质层155包括一个或多个介电质材料层,其中介电质材料例如氧化硅、氮化硅、高k介电质材料、其他合适的介电质材料,和/或其组合。高k介电质材料的示例包括氧化铪(HfO2)、硅酸铪氧化物(HfSiO)、氮氧硅铪化合物(HfSiON)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、铪锆氧化物(HfZrO)、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。
栅极介电质层155可以透过化学气相沉积、原子层沉积或任何合适的方法形成。在一个实施例中,使用例如原子层沉积的高度保形的沉积制程来形成栅极介电质层155,以确保在每个通道层周围形成具有均匀厚度的栅极介电质层155。在部分实施例中,栅极介电质层155的厚度在约1nm至约6nm的范围内。
栅极电极层160形成在栅极介电质层155上以包围每个通道层。栅极电极层160包括一层或多层导电材料,例如多晶硅;或例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、氮化钛(TiN)、氮化钨(WN)、铝化钛(TiAl)、氮铝化钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、金属合金、其他合适材料、和/或其组合的金属。栅极电极层160可以透过化学气相沉积、原子层沉积、电镀或其他合适的方法形成。
在本揭露的部分实施例中,在栅极介电质层155和栅极电极层160之间插入一个或多个功函数调整层(未绘示)。功函数调整层由导电材料制成,例如单层的氮化钛(TiN)、氮化钽(TaN)、碳化铝钽(TaAlC)、碳化钛(TiC)、碳化钽(TaC)、钴(Co)、铝(Al)、铝化钛(TiAl)、钛化铪(HfTi)、硅化钛(TiSi)、硅化钽(TaSi)或碳化铝钛(TiAlC),或者这些材料中的两种或更多种的多层。对于n通道场效应晶体管,使用氮化钛(TiN)、碳化铝钽(TaAlC)、氮化钛(TiN)、碳化钛(TiC)、钴(Co)、铝化钛(TiAl)、钛化铪(HfTi)、硅化钛(TiSi)和硅化钽(TaSi)中的一种或多种作为功函数调整层,并且对于p通道场效应晶体管,使用碳化铝钛(TiAlC)、铝(Al)、铝化钛(TiAl)、氮化钽(TaN)、碳铝化钽(TaAlC)、氮化钛(TiN)、碳化钛(TiC)和钴(Co)中的一种或多种作为功函数调整层。功函数调整层可以透过原子层沉积、物理气相沉积、化学气相沉积、电子束蒸发或其他合适的制程形成。此外,可以分别使用不同的金属层形成n通道场效应晶体管和p通道场效应晶体管的功函数调整层。
如图9所示,对形成在硅(Si)层上的锗(Ge)层进行激光退火后,透过二次离子质谱仪(Secondary Ion Mass Spectroscopy,SIMS)的测量观察在源极/漏极应力源区中硅(Si)/锗(Ge)的混合。另外,如图10所示,X射线绕射(X-Ray Diffraction,XRD)显示,在激光退火后,生长的纯锗(Ge)层在源极/漏极应力层中转变为硅锗(SiGe),表明硅(Si)已扩散到锗(Ge)层中。
图11是根据本揭露的实施例中制造半导体装置的另一方法20的流程图。在操作S22中,在基板上形成第一半导体层,并且在操作S24中,在第一半导体层上形成第二半导体层。在操作S26中,在第二半导体层上形成另一个第一半导体层,并且在操作S28中,在另一个第一半导体层上形成另一个第二半导体层。第一半导体层和第二半导体层由不同的材料形成。接下来,在操作S30中将半导体层图案化以形成鳍状结构。鳍状结构包括通道区域和在通道区域的每一侧上的源极/漏极区域。在操作S32中,随后在通道区域中去除一部分第一半导体层,并且在操作S34中将第一半导体层和第二半导体层的源极/漏极区域选择性地暴露于激光。
图12至图17绘示根据本揭露的实施例中用于制造栅极全环场效应晶体管的示例性顺序制程,其包括在图11的流程图中所示的方法。如图12所示,在基板100上形成具有松弛的第一半导体层101、103和应变的第二半导体层102'、104'的交替的层的层堆叠的鳍状结构。在部分实施例中,层堆叠形成在包括埋入氧化物层170和覆盖半导体层175的埋入氧化物基板上。在部分实施例中,基板是绝缘体上硅(silicon-on-insulator,SOI)基板。
在部分实施例中,松弛的第一半导体层101、103是由SixGe1-x形成,其中0.1≤x≤0.9。在部分实施例中,松弛的第一半导体层101、103由SixGe1-x形成,其中0.5≤x≤0.7,例如x=0.6。在部分实施例中,应变的第二半导体层102'、104'由SiyGe1-y形成,其中0≤y≤0.1,例如锗(Ge)。在部分实施例中,应变的第二半导体层102'、104'的晶格常数大于松弛的第一半导体层101、103的晶格常数。
使用合适的光刻和蚀刻技术,图案化图12的层堆叠以形成如图13所示的多个鳍状结构35,其中图13是沿图1的线A-A截取的横截面图。在图13中,两个鳍状结构35沿X方向排列。然而,鳍状结构的数量并不限于两个,并且可以小至一个和三个或更多。在部分实施例中,在鳍状结构35的两侧上形成一个或多个虚设鳍状结构以提高在图案化操作中的图案保真度。如图13所示,鳍状结构35具有由堆叠的半导体层101、102'、103、104'构成的上部和包含有基板100、埋入氧化物层170和覆盖半导体层175的下部。
在部分实施例中,鳍状结构35的上部沿X方向的宽度W1在约5nm至约40nm的范围内,并且在其他实施例中在约10nm至约30nm的范围内。在部分实施例中,鳍状结构沿着Z方向的高度H1在约100nm至约200nm的范围内。
用于栅极全环(gate all around;GAA)晶体管结构的堆叠的鳍状结构35可以透过任何合适的方法来图案化。例如,可以使用一种或多种光刻制程(包括双重图案化或多重图案化制程)来对结构进行图案化。通常,双重图案化或多重图案化制程结合了光刻和自对准制程,从而允许创建具有例如比使用单一、直接光刻制程可获得的间距更小的间距的图案。例如,在一个实施例中,在基板上方形成牺牲层并使用光刻制程进行图案化。使用自对准制程沿着图案化的牺牲层形成间隔物。然后移除牺牲层,接着可以使用剩余的间隔物来图案化堆叠的鳍状结构35。
在形成鳍状结构35之后,在基板上方形成包括一层或多层绝缘材料的绝缘材料层(未绘示),使得鳍状结构完全嵌入绝缘层中。用于绝缘层的绝缘材料可以包括由低压化学气相沉积(low pressure chemical vapor deposition,LPCVD)、电浆化学气相沉积或可流动的化学气相沉积形成的氧化硅、氮化硅、氮氧化硅(SiON)、氮碳氧化硅(SiOCN)、氮碳化硅(SiCN)、氟掺杂硅酸盐玻璃(fluorine-doped silicate glass,FSG)或低k介电材料。可以在形成绝缘层之后执行退火操作。然后,执行例如化学机械平坦化(chemical mechanicalpolishing,CMP)方法和/或回蚀刻方法的平坦化操作,使得半导体层由绝缘材料层中暴露。在部分实施例中,在形成绝缘材料层之前,在鳍状结构上形成鳍状衬垫层(未绘示)。鳍状衬垫层由氮化硅(Si3N4)或氮化硅基材料(例如,氮氧化硅(SiON)、氮碳化硅(SiCN)或氮碳氧化硅(SiOCN))制成。
在部分实施例中,鳍状衬垫层包括形成在基板100和鳍状结构35的底部部分的侧壁上的第一鳍状衬垫层,以及形成在第一鳍状衬垫层上的第二鳍状衬垫层。在部分实施例中,每个衬垫层具有在约1nm和约20nm之间的厚度。在部分实施例中,第一鳍状衬垫层包括氧化硅并且具有在大约0.5nm与大约5nm之间的厚度,并且第二鳍状衬垫层包括氮化硅并且具有在大约0.5nm与大约5nm之间的厚度。可以透过例如物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)的一个或多个制程来沉积衬垫层,然而,亦可以使用任何可接受的制程。
然后,使绝缘材料层凹陷以形成隔离绝缘层,使得包括半导体层的鳍状结构35的上部暴露。透过此操作,鳍状结构35通过也称为浅沟槽隔离(shallow trench isolation,STI)的隔离绝缘层彼此电性地隔离。
接下来,选择性地去除第一半导体层的通道部分以形成开口130,如图14所示,由此在通道区域中产生适用于p型场效应晶体管的压缩应变的第二半导体层102'、104'的纳米线。
随后在第二半导体层102'、104'的通道区域上形成掩模层105,如图15所示。在部分实施例中,掩模层105由例如金属的反射材料制成。在部分实施例中,用于掩模层105的金属是选自于由铝、镍、金、铂、铬、铜、锌和锆所组成的群组。掩模层材料可以透过化学气相沉积、原子层沉积(ALD)、物理气相沉积(PVD)、电镀或其他合适的方法来沉积。在部分实施例中,在沉积掩模层材料之后,透过合适的光刻和蚀刻技术形成掩模层105。
如图15所示,随后用来自辐射源的辐射110选择性地照射层堆叠。在部分实施例中,辐射源是激光或闪光灯,包括氩和氙闪光灯。暴露层堆叠于辐射110是依据本文在图6讨论的任何条件。
辐射110对鳍状结构的源极/漏极区域进行退火,使得第一半导体层101、103和第二半导体层102'、104'结合并形成源极/漏极应力源区域106,如图16所示。源极/漏极应力源区域106与在此讨论的图7以类似的方式形成。
在部分实施例中,在退火之前使用合适的掺杂剂掺杂源极/漏极区域。在这样的实施例中,退火额外地激活源极/漏极区域中的掺杂剂。在部分实施例中,源极/漏极区域掺杂有高达2×1020个掺杂剂/cm3。掺杂剂可以是n型或p型掺杂剂,包括砷(As)、锑(Sb)、磷(P)、硼(B)、镓(Ga)和铝(Al)中的一种或多种。在部分实施例中,使用原位掺杂掺入掺杂剂。在其他实施例中,使用离子注入、电浆掺杂、固相掺杂或其他掺杂技术。
在照射之后,使用合适的蚀刻技术去除掩模层105,如图16所示,并且执行额外的制程。额外的制程包括在通道区域中形成环绕纳米线102'、104'的栅极电极结构150以形成栅极全环场效应晶体管(GAA FET),如图17所示。栅极电极结构150包括围绕纳米线102、104的栅极介电质层155和配置在围绕纳米线的栅极介电质层155上的栅极电极层160。在部分实施例中,形成界面层和功函数调整层于栅极介电质层155和栅极电极层160之间。
在部分实施例中,栅极介电质层155包括一个或多个层的介电质材料,例如氧化硅、氮化硅或高k介电质材料、其他合适的介电质材料、和/或其组合。高k介电质材料的示例包括氧化铪(HfO2)、硅酸铪氧化物(HfSiO)、氮氧硅铪化合物(HfSiON)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、铪锆氧化物(HfZrO)、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。
栅极介电质层155可以透过化学气相沉积、原子层沉积或任何合适的方法形成。在一个实施例中,使用例如原子层沉积的高度保形的沉积制程来形成栅极介电质层155,以确保形成具有均匀厚度的栅极介电质层155于每个通道层的周围。在部分实施例中,栅极介电质层155的厚度在约1nm至约6nm的范围内。
形成栅极电极层160于栅极介电质层155上以围绕每个通道层。栅极电极层160包括一层或多层导电材料,例如多晶硅;或金属,例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、氮化钛(TiN)、氮化钨(WN)、铝化钛(TiAl)、氮铝化钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、金属合金、和/或其组合。栅极电极层160可以透过化学气相沉积、原子层沉积、电镀或其他合适的方法形成。
如本文先前所讨论的,在本揭露的部分实施例中,在栅极介电质层155和栅极电极层160之间插入一个或多个功函数调整层(未绘示)。
根据本揭露的实施例,退火图15中装置的源极/漏极区域以产生的源极/漏极应力源区域106,以在通道区域中提供拉伸应变,从而为装置提供适合于n型场效应晶体管的拉伸应变。因此,根据本揭露的实施例,透过在基板上形成多个装置,其中一些装置被选择性退火,并且基板上的其他装置未经辐射退火,因而可以在基板上形成n型场效应晶体管和p型场效应晶体管。因此,本揭露提供了用于制造互补金属氧化物半导体场效应晶体管(complementary metal oxide semiconductor field effect transistors,CMOSFET或CMOS装置)的改进方法。
在图18中绘示根据本揭露的互补金属氧化物半导体装置185的实施例。参考图18,透过在图14的结构的通道区域中形成栅极电极结构150来形成具有处于压缩应变下的第二半导体层102'、104'的p型场效应晶体管190。n型场效应晶体管195由图17的装置形成。在共同的基板100上形成p型场效应晶体管190和n型场效应晶体管195。在部分实施例中,基板是绝缘体上硅基板,包括形成在基板100上的覆盖半导体层175(如硅层)和埋入氧化物层170。
图19是根据本揭露的实施例中制造半导体装置的另一方法40的流程图。在操作S42中,在基板上形成第一半导体层,并且在操作S44中,在第一半导体层上形成第二半导体层。在操作S46中,在第二半导体层上形成另一个第一半导体层。第一半导体层和第二半导体层由不同的材料形成。接下来,在操作S48中将半导体层图案化以形成鳍状结构。鳍状结构包括通道区域和在通道区域每一侧上的源极/漏极区域。在操作S50中,随后在通道区域中去除与基板相邻的第一半导体层的一部分。然后在操作S52中,在通道区域中去除第二半导体层的一部分。接下来,在操作S54中,第一半导体层和第二半导体层的源极/漏极区域选择性地暴露于激光。
图20至图25绘示根据本揭露的实施例中用于制造栅极全环场效应晶体管的示例性顺序过程,包括于图19的流程图中所示的方法。如图20所示,在基板100上形成具有层堆叠的松弛的第二半导体层102、应变的第一半导体层101'和另一松弛的第二半导体层104的鳍状结构。在部分实施例中,在基板上提供多个交替的第二半导体层102、第一半导体层101'和另一个第二半导体层104。在一些实施例中,层堆叠形成在包括埋入氧化物层170和覆盖半导体层175的埋入氧化物基板上。在部分实施例中,基板是绝缘体上硅(SOI)基板。在部分实施例中,覆盖半导体层175是掺杂磷的硅层。
在部分实施例中,应变的第一半导体层101'由SixGe1-x形成,其中0.1≤x≤0.9。在部分实施例中,应变的第一半导体层101'由SixGe1-x形成,其中0.5≤x≤0.7,例如x=0.6。在部分实施例中,松弛的第二半导体层102、104由SiyGe1-y形成,其中0≤y≤0.1,例如锗(Ge)。在部分实施例中,松弛的第二半导体层102、104的晶格常数大于应变的第一半导体层101'的晶格常数。在部分实施例中,与基板相邻的第二半导体层102比第一半导体层101'厚并且比远离基板的第二半导体层104厚。在部分实施例中,远离基板的第二半导体层104比第一半导体层101'厚。
使用合适的光刻和蚀刻技术,图20的层堆叠被图案化以形成多个鳍片。在部分实施例中,图20的层堆叠的图案化的执行与图13讨论的方式相同。另外,如前所述,在部分实施例中形成浅沟槽隔离区域。
接下来,选择性地去除与基板100相邻的第二半导体层102的部分的通道部分以形成开口180,如图21所示。在此实施例中,与基板相邻的第二半导体层102在通道区域中并未被完全去除。保留在通道区域中与第一半导体层101'相邻的第二半导体层102的部分厚度。在部分实施例中,邻近基板的第二半导体层102的约25%至约75%保留在通道区域中。第二半导体层102的下部可以被选择性地去除,因为第二半导体层102在靠近基板的下部具有缺陷,此缺陷是因覆盖半导体层175与邻近基板的第二半导体层102之间的界面处的错位差排(misfit dislocations)。因此,与基板相邻的第二半导体层102的下部的蚀刻速率比与基板相邻的第二半导体层102的上部以及远离基板的第二半导体层104的蚀刻速率快得多。在部分实施例中,当在与基板相邻的第二半导体层的下部中形成开口180时,与基板相邻的第二半导体层102的上部和远离基板的第二半导体层104将透过蚀刻制程而变薄。在部分实施例中,透过合适的选择性蚀刻和掩模技术移除第二半导体层102与基板相邻的部分。在一些示例中,使用由溴化氢(HBr)和氯(Cl2)形成的电浆进行蚀刻。
接下来,选择性地移除应变的第一半导体层101'的通道部分以形成开口130,如图22所示,由此在通道区域中产生适合于n型场效应晶体管的具有拉伸应变的第二半导体层102、104的纳米线。
随后在第二半导体层102、104的通道区之上形成掩模层105,如图23所示。在部分实施例中,掩模层105由例如金属的反射材料制成。在部分实施例中,用于掩模层105的金属是选自于由铝、镍、金、铂、铬、铜、锌和锆所组成的群组。掩模层材料可以透过化学气相沉积、原子层沉积(ALD)、物理气相沉积(PVD)、电镀或其他合适的方法来沉积。在部分实施例中,在沉积掩模层材料之后,透过合适的光刻和蚀刻技术形成掩模层105。
随后利用来自辐射源的辐射110选择性地照射层堆叠,如图23所示。在部分实施例中,辐射源是激光或闪光灯,包括氩和氙闪光灯。根据本文前述于图6中所讨论的任何条件,层堆叠暴露于辐射110。
如图24所示,辐射110对鳍状结构的源极/漏极区域进行退火,使第二半导体层102、104和第一半导体层101'结合并形成源极/漏极应力源区域106。源极/漏极应力源区域106与在此讨论的图7以类似的方式形成。
在部分实施例中,在退火之前用合适的掺杂剂掺杂源极/漏极区域。在这样的实施例中,退火额外地激活源极/漏极区域中的掺杂剂。在部分实施例中,源极/漏极区域掺杂有高达2×1020个掺杂剂/cm3。掺杂剂可以是n型或p型掺杂剂,包括砷(As)、锑(Sb)、磷(P)、硼(B)、镓(Ga)和铝(Al)中的一种或多种。在部分实施例中,使用原位掺杂掺入掺杂剂。在其他实施例中,使用离子注入、电浆掺杂、固相掺杂或其他掺杂技术。
在照射之后,使用合适的蚀刻技术去除掩模层105,如图24所示,并且执行附加的制程。附加的制程包括在通道区域中形成围绕纳米线102、104的栅极电极结构150,以形成栅极全环场效应晶体管(GAA FET),如图25所示。栅极电极结构150包括围绕纳米线102、104的栅极介电质层155和配置在围绕纳米线的栅极介电质层上的栅极电极层160。在部分实施例中,形成界面层和功函数调整层于栅极介电质层155和栅极电极层160之间。
在部分实施例中,栅极介电质层155包括一层或多层介电质材料,其中介电质材料例如氧化硅、氮化硅或高k介电质材料、其他合适的介电质材料和/或其组合。高k介电质材料的示例包括氧化铪(HfO2)、硅酸铪氧化物(HfSiO)、氮氧硅铪化合物(HfSiON)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、铪锆氧化物(HfZrO)、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。
栅极介电质层155可以透过化学气相沉积、原子层沉积或任何合适的方法形成。在一个实施例中,使用例如原子层沉积的高度保形的沉积制程来形成栅极介电质层155,以确保在每个通道层周围形成具有均匀厚度的栅极介电质层。在部分实施例中,栅极介电质层155的厚度在约1nm至约6nm的范围内。
栅极电极层160形成在栅极介电质层155上以围绕每个通道层。栅极电极层160包括一层或多层导电材料,例如多晶硅;或金属,例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、氮化钛(TiN)、氮化钨(WN)、铝化钛(TiAl)、氮铝化钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、金属合金、和/或其组合。栅极电极层160可以透过化学气相沉积、原子层沉积、电镀或其他合适的方法形成。
如本文先前所讨论的,在本揭露的部分实施例中,一个或多个功函数调整层(未绘示)介于栅极介电质层155和栅极电极层160之间。
根据本揭露的实施例,图24中装置的源极/漏极区域的退火可产生源极/漏极应力源区域106,以在通道区域中提供拉伸应变,由此为装置提供适合于n型场效应晶体管的拉伸应变。因此,根据本揭露的实施例,通过在基板上形成多个装置,其中一些装置被选择性退火,并且基板上的其他装置并未经辐射退火,因而可以在基板上形成n型场效应晶体管和p型场效应晶体管。因此,本揭露提供了用于制造互补式金属氧化物半导体装置的改进方法。
图26绘示根据本揭露的互补式金属氧化物半导体装置200的示例。如图26所示,透过在图22的结构的通道区域中形成栅极电极结构150,以形成具有拉伸应变的纳米线102、104的n型场效应晶体管205。p型场效应晶体管210由图25的装置形成。p型场效应晶体管210和n型场效应晶体管205形成在共同的基板100上。在部分实施例中,n型场效应晶体管可以由图25的装置形成而不需退火源极/漏极区域。在部分实施例中,基板是绝缘体上硅基板,包括形成在基板100上的覆盖半导体层175和埋入氧化物层170。
图27至图31绘示根据本揭露的实施例中用于制造栅极全环场效应晶体管的示例性顺序过程。如图27所示,在基板100上形成具有松弛的第一半导体层101、应变的第二半导体层102'、另一个松弛的第一半导体层103和另一个应变的第二半导体层104'的层堆叠的鳍状结构。在部分实施例中,在基板上提供多个交替的第一半导体层101、103和第二半导体层102'、104。在部分实施例中,层堆叠形成在包括埋入氧化物层170和覆盖半导体层175的埋入氧化物基板上。在部分实施例中,基板是绝缘体上硅(SOI)基板。
在部分实施例中,松弛的第一半导体层101、103由SixGe1-x形成,其中0.1≤x≤0.9。在部分实施例中,松弛的第一半导体层101、103由SixGe1-x形成,其中0.5≤x≤0.7,例如x=0.6。在部分实施例中,应变的第二半导体层102'、104'由SiyGe1-y形成,其中0.9≤y≤1,例如硅(Si)。在部分实施例中,松弛的第一半导体层101、103的晶格常数大于应变的第二半导体层102'、104'的晶格常数。在部分实施例中,与基板100相邻的第一半导体层101比第二半导体层102'、104'厚并且比远离基板100的第一半导体层103厚。在部分实施例中,第二半导体层102'、104'比远离基板100的第一半导体层103厚。
使用合适的光刻和蚀刻技术,图案化图27的层堆叠,以形成多个鳍片。在部分实施例中,图27的层堆叠的图案化是与在此讨论的图13以相同的方式执行。另外,如前所述,在部分实施例中形成浅沟槽隔离区域。
接下来,选择性地去除第一半导体层101、103的通道部分以形成开口130,如图28所示。透过合适的选择性蚀刻和掩模技术去除第一半导体层101、103的通道部分。在部分实施例中,使用适当的掩模和氯(Cl2)/溴化氢(HBr)电浆蚀刻剂来执行选择性蚀刻。如图28所示,选择性地去除第一半导体层(硅锗(SiGe)层)的通道部分,以在通道区域中产生适用于n型场效应晶体管的具有拉伸应变的纳米线。
如图29所示,随后在第二半导体层102'、104'的通道区域上方形成掩模层105。在部分实施例中,掩模层105由例如金属的反射材料制成。在部分实施例中,用于掩模层105的金属是选自于由铝、镍、金、铂、铬、铜、锌和锆所组成的群组。掩模层材料可以透过化学气相沉积、原子层沉积(ALD)、物理气相沉积(PVD)、电镀或其他合适的方法来沉积。在部分实施例中,在沉积掩模层材料之后,透过合适的光刻和蚀刻技术形成掩模层105。
如图29所示,随后用来自辐射源的辐射110选择性地照射层堆叠。在部分实施例中,辐射源是激光或闪光灯,包括氩和氙闪光灯。根据前述本文在此讨论的图6的任何条件,层堆叠暴露于辐射110。
如图30所示,辐射110对鳍状结构的源极/漏极区域进行退火,使第一半导体层101、103和第二半导体层102'、104'结合并形成源极/漏极应力源区域106。源极/漏极应力源区域106与在此讨论的图7以类似的方式形成。
在部分实施例中,在退火之前,使用合适的掺杂剂掺杂源极/漏极区域。在这样的实施例中,退火额外地激活源极/漏极区域中的掺杂剂。在部分实施例中,源极/漏极区域掺杂有高达2×1020个掺杂剂/cm3。掺杂剂可以是n型或p型掺杂剂,包括砷(As)、锑(Sb)、磷(P)、硼(B)、镓(Ga)和铝(Al)中的一种或多种。在部分实施例中,使用原位掺杂掺入掺杂剂。在其他实施例中,使用离子注入、电浆掺杂、固相掺杂或其他掺杂技术。
如图30所示,照射之后,使用合适的蚀刻技术去除掩模层105,并且执行附加的制程。附加的制程包括在通道区域中形成环绕纳米线102'、104'的栅极电极结构150以形成栅极全环场效应晶体管(GAA FET),如图31所示。栅极电极结构150包括围绕纳米线102'、104'的栅极介电质层155和配置在围绕纳米线的栅极介电质层上的栅极电极层160。在部分实施例中,界面层和功函数调整层形成在栅极介电质层155和栅极电极层160之间。
在部分实施例中,栅极介电质层155包括一层或多层介电质材料,其中介电质材料例如氧化硅、氮化硅或高k介电质材料、其他合适的介电质材料和/或其组合。高k介电质材料的示例包括氧化铪(HfO2)、硅酸铪氧化物(HfSiO)、氮氧硅铪化合物(HfSiON)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、铪锆氧化物(HfZrO)、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。
栅极介电质层155可以透过化学气相沉积、原子层沉积或任何合适的方法形成。在一个实施例中,使用例如原子层沉积的高度保形的沉积制程来形成栅极介电质层155,以确保在每个通道层周围形成具有均匀厚度的栅极介电质层155。在部分实施例中,栅极介电质层155的厚度在约1nm至约6nm的范围内。
栅极电极层160形成在栅极介电质层155上以围绕每个通道层。栅极电极层160包括一层或多层导电材料,例如多晶硅;或金属,例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、氮化钛(TiN)、氮化钨(WN)、铝化钛(TiAl)、氮铝化钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、金属合金、和/或其组合。栅极电极层160可以透过化学气相沉积、原子层沉积、电镀或其他合适的方法形成。
如本文先前所讨论的,在本揭露的部分实施例中,一个或多个功函数调整层(未绘示)介于栅极介电质层155和栅极电极层160之间。
根据本揭露的实施例,退火图29中装置的源极/漏极区域,以产生源极/漏极应力源区域106,以在通道区域中提供压缩应变,从而为装置提供适用于p型场效应晶体管的压缩应变。因此,根据本揭露的实施例,透过在基板上形成多个装置,其中一些装置被选择性退火,并且基板上的其他装置未经辐射退火,因而可以在基板上形成n型场效应晶体管和p型场效应晶体管。因此,本揭露提供了用于制造互补式金属氧化物半导体装置的改进方法。
图32绘示根据本揭露的互补式金属氧化物半导体装置220的示例。如图32所示,透过在图28的结构的通道区域中形成栅极电极结构150来形成具有处于拉伸应变下的第二半导体层102'、104'的n型场效应晶体管225。在图31的装置中形成具有处于压缩应变下的纳米线102'、104'的p型场效应晶体管230。p型场效应晶体管230和n型场效应晶体管225形成在共同的基板100上。在部分实施例中,基板是绝缘体上硅基板,包括形成在基板100上的覆盖半导体层175和埋入氧化物层170。
图33至图38绘示根据本揭露的实施例中用于制造栅极全环场效应晶体管的示例性顺序过程。在基板100上形成具有松弛的第二半导体层102、应变的第一半导体层101'和另一松弛的第二半导体层104的层堆叠的鳍状结构,如图33所示。在部分实施例中,多个交替的第二半导体层102、第一半导体层101'和另一个第二半导体层104设置在基板上。在部分实施例中,层堆叠形成在埋入氧化物基板上,其包括形成在基板上的埋入氧化物层170。
在部分实施例中,应变的第一半导体层101'由SixGe1-x形成,其中0.1≤x≤0.9。在部分实施例中,应变的第一半导体层101由SixGe1-x形成,其中0.5≤x≤0.7,例如x=0.6。在部分实施例中,松弛的第二半导体层102、104由SiyGe1-y形成,其中0.9≤y≤1,例如硅(Si)。在部分实施例中,松弛的第二半导体层102、104的晶格常数小于应变的第一半导体层101'的晶格常数。在部分实施例中,与基板相邻的第二半导体层102比第一半导体层101'厚并且比远离基板的第二半导体层104厚。在部分实施例中,远离基板的第二半导体层104比第一半导体层101'厚。
使用合适的光刻和蚀刻技术,图案化图33的层堆叠以形成多个鳍片。图33中层堆叠的图案化与在此讨论的图13是以相同的方式执行。另外,如前所述,在部分实施例中形成浅沟槽隔离区域。
接下来,如图34所示,选择性地去除与基板100相邻的第二半导体层102的通道部分的一部分以形成开口180。在本实施例中,与基板相邻的第二半导体层102在通道区域中并未被完全去除。保留在通道区域中与第一半导体层101'相邻的第二半导体层102的部分厚度。在部分实施例中,邻近基板的第二半导体层102的约25%至约75%保留在通道区域中。在部分实施例中,第二半导体层102的与基板相邻的部分透过合适的选择性蚀刻和掩模技术被去除。在一些示例中,使用由溴化氢(HBr)和氯(Cl2)形成的电浆进行蚀刻。
接下来,选择性地移除应变的第一半导体层101'的通道部分以形成开口130,如图35所示,从而在具有松弛的通道的通道区域中产生适合于n型场效应晶体管或p型场效应晶体管的第二半导体层102、104的纳米线。
随后在第二半导体层102、104的通道区域上方形成掩模层105,如图36所示。在部分实施例中,掩模层105由例如金属的反射材料制成。在部分实施例中,用于掩模层105的金属是选自于由铝、镍、金、铂、铬、铜、锌和锆所组成的群组。掩模层材料可以透过化学气相沉积、原子层沉积(ALD)、物理气相沉积(PVD)、电镀或其他合适的方法来沉积。在部分实施例中,在沉积掩模层材料之后,透过合适的光刻和蚀刻技术形成掩模层105。
如图36所示,随后用来自辐射源的辐射110选择性地照射层堆叠。在部分实施例中,辐射源是激光或闪光灯,包括氩和氙闪光灯。根据本文在此讨论的图6的任何条件,层堆叠暴露于辐射110。
如图37所示,辐射110对鳍状结构的源极/漏极区域进行退火,使第二半导体层102、104和第一半导体层101'结合并形成源极/漏极应力源区域106。源极/漏极应力源区域106与在此讨论的图7以类似的方式形成。
在部分实施例中,在退火之前,使用合适的掺杂剂掺杂源极/漏极区域。在这样的实施例中,退火额外地激活源极/漏极区域中的掺杂剂。在部分实施例中,源极/漏极区域掺杂有高达2×1020个掺杂剂/cm3。掺杂剂可以是n型或p型掺杂剂,包括砷(As)、锑(Sb)、磷(P)、硼(B)、镓(Ga)和铝(Al)中的一种或多种。在部分实施例中,使用原位掺杂掺入掺杂剂。在其他实施例中,使用离子注入、电浆掺杂、固相掺杂或其他掺杂技术。
在照射之后,使用合适的蚀刻技术去除掩模层105,如图37所示,并且执行附加的制程。附加的制程包括在通道区域中形成围绕纳米线102、104的栅极电极结构150,以形成栅极全环场效应晶体管(GAA FET),如图38所示。栅极电极结构150包括环绕纳米线102、104的栅极介电质层155和设置在环绕纳米线的栅极介电质层155上的栅极电极层160。在部分实施例中,界面层和功函数调整层形成在栅极介电质层155和栅极电极层160之间。
在部分实施例中,栅极介电质层155包括一个或多个介电质材料层,例如氧化硅、氮化硅或高k介电质材料、其它合适的介电质材料,和/或其组合。高k介电质材料的示例包括氧化铪(HfO2)、硅酸铪氧化物(HfSiO)、氮氧硅铪化合物(HfSiON)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、铪锆氧化物(HfZrO)、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。
栅极介电质层155可以透过化学气相沉积、原子层沉积或任何合适的方法形成。在一个实施例中,使用例如原子层沉积的高度保形的沉积制程来形成栅极介电质层155,以确保在每个通道层周围形成具有均匀厚度的栅极介电质层155。在部分实施例中,栅极介电质层155的厚度在约1nm至约6nm的范围内。
栅极电极层160形成在栅极介电质层155上以围绕每个通道层。栅极电极层160包括一层或多层导电材料,例如多晶硅;或金属,例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、氮化钛(TiN)、氮化钨(WN)、铝化钛(TiAl)、氮铝化钛(TiAlN)、氮化碳钽(TaCN)、碳化钽(TaC)、氮化硅钽(TaSiN)、金属合金、和/或其组合。栅极电极层160可以透过化学气相沉积、原子层沉积、电镀或其他合适的方法形成。
如本文先前所讨论的,在本揭露的部分实施例中,一个或多个功函数调整层(未绘示)介于栅极介电质层155和栅极电极层160之间。
根据本揭露的实施例,退火图36中装置的源极/漏极区域产生源极/漏极应力源区域106,以在通道区域中提供压缩应变,从而为装置提供适用于n型场效应晶体管的压缩应变。因此,根据本揭露的实施例,透过在基板上形成多个装置,其中一些装置被选择性退火,并且基板上的其他装置未经辐射退火,因而可以在基板上形成n型场效应晶体管和p型场效应晶体管。因此,本揭露提供了用于制造互补式金属氧化物半导体装置的改进方法。
图39绘示根据本揭露的互补式金属氧化物半导体装置240的示例。在图39中,透过在图35的结构的通道区域中形成栅极电极结构150来形成具有松弛的纳米线102、104的n型场效应晶体管245。p型场效应晶体管250由图38的装置形成。p型场效应晶体管250和n型场效应晶体管245形成在共同的基板100上。在部分实施例中,p型场效应晶体管可以不退火源极/漏极区域而由图35的装置形成。在部分实施例中,在基板100上形成埋入氧化物层170。
在本揭露的其他实施例中,表1列出了被一起使用的成对的第一半导体层和第二半导体层,其中第一半导体层形成通道纳米线,并且第二半导体层与第一半导体层结合以形成源极/漏极区域。
表1
第一半导体层 第二半导体层
硅(Si) 硅锗(SiGe)
硅锗(SiGe) 锗(Ge)
锗(Ge) 锗锡(GeSn)
锗锡(GeSn) 锗(Ge)
锗(Ge) 硅锗(SiGe)
硅锗(SiGe) 硅(Si)
硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn) 硅锗锡(SiGeSn)
硅锗锡(SiGeSn) 硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)
硅锗锡(SiGeSn) 硅锗锡(SiGeSn)
应该理解,可以执行额外的制程。根据本揭露的实施例,后续制程包括在装置上形成各种接触/通孔/线和多层互连特征(例如,金属层和层间介电质),其被配置为连接半导体装置的各种特征或结构。
本揭露的实施例减少了在半导体装置中提供源极/漏极应力源所需的操作数量,从而减少了制程时间和成本。本揭露的实施例在简化的栅极全环场效应晶体管制造方法中提供了压缩应变半导体装置和拉伸应变半导体装置。根据本揭露的实施例,透过使用选择性退火,可以在相同的结构中获得压缩应变和拉伸应变。另外,可以透过调整层堆叠中半导体层的厚度和组成以在本揭露的实施例中调整诱导应变。此外,根据本揭露的实施例,可以透过选择性退火同时完成掺杂剂的激活和源极/漏极应力源的形成。本揭露提供了制造互补式金属氧化物半导体装置的简化的、具有成本效益的方法。
应该理解,并非所有的优点都已经在本文中进行了必然的讨论,对于所有实施例或示例皆不需要特别的优点,并且其他实施例或示例可以提供不同的优点。
本揭露的一个实施例是一种制造半导体装置的方法,包括在基板上形成具有交替的第一半导体层和第二半导体层的堆叠的鳍状结构。第一半导体层和第二半导体层包括沿第一半导体层和第二半导体层的长度的第二部分的任一侧上的第一部分。第一半导体层和第二半导体层由不同的材料形成。移除第一半导体层的第二部分以形成开口。形成掩模层于开口上方的最上层的第二半导体层的第二部分上方。利用来自辐射源的辐射照射第一半导体层和第二半导体层的第一部分,以使来自第一半导体层和第二半导体层的第一部分的材料彼此结合。在一个实施例中,辐射源是激光。在一个实施例中,激光具有约150nm至约2300nm的波长。在一个实施例中,第一半导体层和第二半导体层是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在一个实施例中,第一半导体层包括SixGe1-x,其中0.1≤x≤0.9,并且第二半导体层包括硅(Si)或锗(Ge)。在一个实施例中,第二半导体层的厚度t2和第一半导体层的厚度t1关系为t2/t1=0.2至5。在一个实施例中,第一半导体层和第二半导体层彼此结合的第一部分厚度ts和第二半导体层的厚度t2关系为ts/t2=(0.15~6)*n,其中n为第二半导体层的数量。在一个实施例中,此方法包括在照射第一半导体层和第二半导体层的第一部分之后去除掩模层。在一个实施例中,此方法包括形成环绕第二半导体层的栅极电极结构。
本揭露的另一个实施例是一种制造半导体装置的方法,包括在基板上形成第一半导体层并且在第一半导体层上形成第二半导体层。另一个第一半导体层形成在第二半导体层上,另一个第二半导体层形成在另一个第一半导体层上。第一半导体层和第二半导体层由不同的材料形成。图案化半导体层以形成鳍状结构。鳍状结构包括通道区域和在通道区域的每一侧上的和源极/漏极区域。在通道区域中去除一部分第一半导体层。第一半导体层和第二半导体层的源极/漏极区域选择性地暴露于激光。在一个实施例中,激光具有约150nm至约2300nm的波长。在一个实施例中,第一半导体层和第二半导体层是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在一个实施例中,第一半导体层包括SixGe1-x,其中0.1≤x≤0.9,第二半导体层包括硅(Si)或锗(Ge)。在一个实施例中,第二半导体层的厚度t2和第一半导体层的厚度t1关系为t2/t1=0.2至5。在一个实施例中,暴露于激光之后的源极/漏极区域的厚度ts和第二半导体层的厚度t2关系联为ts/t2=(0.15~6)*n,其中n为第二半导体层的数量。在一个实施例中,此方法包括在形成第一半导体层和第二半导体层之前在半导体基板上形成绝缘层。
本揭露的另一个实施例是一种制造半导体装置的方法,包括在基板上形成第一半导体层,并且在第一半导体层上形成第二半导体层。另一个第一半导体层形成在第二半导体层上。第一半导体层和第二半导体层由不同的材料形成。图案化半导体层以形成鳍状结构。鳍状结构包括通道区域和在通道区域的每一侧上的源极/漏极区域。移除在通道区域中与基板相邻的第一半导体层的一部分。移除通道区域中第二半导体层的一部分。选择性地暴露第一半导体层和第二半导体层的源极/漏极区域于激光。在一个实施例中,第一半导体层和第二半导体层是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在一个实施例中,第一半导体层包括SixGe1-x,其中0.1≤x≤0.9,第二半导体层包括硅(Si)或锗(Ge)。在一个实施例中,此方法包括在形成第一半导体层和第二半导体层之前在半导体基板上形成绝缘层。
本揭露的另一个实施例是一种半导体装置,其包括包含第一半导体材料和第二半导体材料的混合物的源极/漏极区域应力源区域。第一半导体材料和第二半导体材料是不同的材料。多个纳米线由在通道区域的每一侧上的源极/漏极区域之间延伸的通道区域中的第二半导体材料形成。在一个实施例中,第二半导体材料是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在一个实施例中,第二半导体材料是硅(Si)或锗(Ge)。在一个实施例中,源极/漏极区域包括从由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)所组成的群组中选择的两种或更多种半导体材料的混合物。在一个实施例中,通道区域中源极/漏极区域的厚度ts和第二半导体材料层的厚度t2关系为ts/t2=(0.15~6)*n,其中n为第二半导体材料层的数量。在一个实施例中,在通道区域中的第二半导体材料纳米线受到应变。在一个实施例中,纳米线和源极/漏极区域形成在半导体基板上,绝缘层位于半导体基板与纳米线和源极/漏极区域之间。在一个实施例中,绝缘层是基板的氧化物。在一个实施例中,半导体装置包括围绕纳米线的栅极电极结构。
本揭露的另一个实施例是一种半导体装置,包括第一晶体管和第二晶体管。每个晶体管包括在通道区域的每侧上的源极/漏极区域之间延伸的通道区域中的多个纳米线。第一晶体管或第二晶体管中的至少一个的源极/漏极区是包括第一半导体材料和第二半导体材料的混合物的应力源区域,并且第一半导体材料和第二半导体材料是不同的材料。纳米线由第二半导体材料形成。在一个实施例中,第二半导体材料是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。在一个实施例中,第二半导体材料是硅(Si)或锗(Ge)。在一个实施例中,源极/漏极区域包括从由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)所组成的群组中选择的两种或更多种半导体材料的混合物。在一个实施例中,通道区域中的源极/漏极区域的厚度ts和第二半导体材料层的厚度t2关系为ts/t2=(0.15~6)*n,其中n为第二半导体材料层的数量。在一个实施例中,在第一晶体管中,第二半导体材料纳米线在通道区域中处于压缩应变下。在一个实施例中,在第二晶体管中,第二半导体材料纳米线在通道区域中处于拉伸应变下。在一个实施例中,第一晶体管和第二晶体管形成在半导体基板上,并且绝缘层位于半导体基板与第一晶体管和第二晶体管之间。在一个实施例中,绝缘层是氧化物层。
本揭露的另一个实施例是一种半导体装置,其包括半导体基板,以及形成在半导体基板上的p型场效应晶体管(pFET)和n型场效应晶体管(nFET)。每个p型场效应晶体管和n型场效应晶体管皆包括在通道区域的每侧上的源极/漏极区域之间延伸的通道区域中的多个纳米线。栅极电极结构环绕每个纳米线。p型场效应晶体管或n型场效应晶体管中的至少一个的源极/漏极区域是包括第一半导体材料和第二半导体材料的混合物的应力源区域,并且第一半导体材料和第二半导体材料是不同的材料。纳米线由第二半导体材料形成。在一个实施例中,栅极电极结构包括环绕在每个纳米线周围的高k栅极介电质层和环绕在每个高k介电质层周围的金属栅极电极层。
前面概述了几个实施例或示例的特征,以使得本领域技术人员可以更好地理解本揭露的各方面。本领域的技术人员应该理解,他们可以容易地使用本揭露作为用于设计或修改用于执行相同目的和/或实现本文所介绍的实施例或示例的相同优点的其他过程和结构的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本揭露的精神和范围,并且可以在不脱离本揭露的精神和范围的情况下进行各种改变、替换和变更。

Claims (10)

1.一种制造半导体装置的方法,其特征在于,包含:
形成一鳍状结构于一基板上,该鳍状结构具有交替的多个第一半导体层和多个第二半导体层的一堆叠,其中该些第一半导体层和该些第二半导体层包含多个第一部分,该些第一部分位于沿着该些第一半导体层和该些第二半导体层的一长度的一第二部分的任一侧上,该些第一半导体层和该些第二半导体层由不同的材料形成;
移除该些第一半导体层的该第二部分以形成多个开口;
形成一掩模层于该些开口上方的该些第二半导体层中的最顶层的该第二部分上;以及
使用来自一辐射源的辐射照射该些第一半导体层和该些第二半导体层的该些第一部分,以使来自该些第一半导体层和该些第二半导体层的该些第一部分的材料彼此结合。
2.根据权利要求1所述的方法,其特征在于,该些第二半导体层的一厚度t2与该些第一半导体层的一厚度t1的关系为t2/t1=0.2~5。
3.根据权利要求1所述的方法,其特征在于,该些第一半导体层和该些第二半导体层彼此结合的该些第一部分的一厚度ts和该些第二半导体层的一厚度t2的关系为ts/t2=(0.15~6)*n,其中n为该些第二半导体层的数量。
4.根据权利要求1所述的方法,其特征在于,还包含在照射该些第一半导体层和该些第二半导体层的该些第一部分之后移除该掩模层。
5.一种制造半导体装置的方法,其特征在于,包含:
形成一第一半导体层于一基板上;
形成一第二半导体层于该第一半导体层上;
形成另一个第一半导体层于该第二半导体层上;
形成另一个第二半导体层于该另一个第一半导体层上,其中该些第一半导体层和该些第二半导体层由不同的材料形成;
图案化该些第一及第二半导体层以形成一鳍状结构;
其中该鳍状结构包含多个通道区域和在该些通道区域的两侧上的多个源极/漏极区域;
移除该通道区域中一部分的该些第一半导体层;以及
选择性地将该些第一半导体层和该些第二半导体层的该些源极/漏极区域暴露于一激光。
6.根据权利要求5所述的方法,其特征在于,该激光具有约150nm至约2300nm的一波长。
7.根据权利要求5所述的方法,其特征在于,该些第一半导体层和该些第二半导体层是选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组。
8.根据权利要求5所述的方法,其特征在于,该些第一半导体层包含SixGe1-x,其中0.1≤x≤0.9,并且该些第二半导体层包含硅(Si)或锗(Ge)。
9.根据权利要求5所述的方法,其特征在于,还包含在形成该些第一半导体层和该些第二半导体层之前在该基板上形成一绝缘层。
10.一种制造半导体装置的方法,其特征在于,包含:
形成一第一半导体层于一基板上;
形成一第二半导体层于该第一半导体层上;
形成另一个第一半导体层于该第二半导体层上,其中该些第一半导体层和该第二半导体层由不同的材料形成;
图案化该些第一半导体层及该第二半导体层以形成一鳍状结构;
其中该鳍状结构包含一通道区域与在该通道区域的两侧上的多个源极/漏极区域;
移除该通道区域中与该基板相邻的该第一半导体层的一部分;
移除该通道区域中该第二半导体层的一部分;以及
选择性地将该些第一半导体层和该第二半导体层的该些源极/漏极区域暴露于一激光。
CN201810987391.9A 2017-08-31 2018-08-28 制造半导体装置的方法 Active CN109427593B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762553010P 2017-08-31 2017-08-31
US62/553,010 2017-08-31
US15/940,423 US10332985B2 (en) 2017-08-31 2018-03-29 Semiconductor device and manufacturing method thereof
US15/940,423 2018-03-29

Publications (2)

Publication Number Publication Date
CN109427593A true CN109427593A (zh) 2019-03-05
CN109427593B CN109427593B (zh) 2021-11-02

Family

ID=65436088

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810987391.9A Active CN109427593B (zh) 2017-08-31 2018-08-28 制造半导体装置的方法

Country Status (3)

Country Link
US (2) US10332985B2 (zh)
CN (1) CN109427593B (zh)
TW (1) TWI681463B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015047354A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US10763347B2 (en) * 2016-12-14 2020-09-01 Intel Corporation Quantum well stacks for quantum dot devices
WO2019066965A1 (en) * 2017-09-29 2019-04-04 Intel Corporation DEVICE, METHOD AND SYSTEM FOR FAVORING CHANNEL STRESS IN AN NMOS TRANSISTOR
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11335552B2 (en) * 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1401140A (zh) * 2000-08-14 2003-03-05 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
CN1487599A (zh) * 2002-10-01 2004-04-07 ���ǵ�����ʽ���� 具有多个叠置沟道的场效应晶体管
CN101208805A (zh) * 2005-06-30 2008-06-25 英特尔公司 纳米尺度沟道晶体管的块接触结构
CN102237274A (zh) * 2010-04-22 2011-11-09 台湾积体电路制造股份有限公司 避免激光退火边界效应的激光退火方法
US20140217502A1 (en) * 2013-02-07 2014-08-07 International Business Machines Corporation Diode Structure and Method for Wire-Last Nanomesh Technologies
CN104137237A (zh) * 2011-12-23 2014-11-05 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104752503A (zh) * 2013-12-27 2015-07-01 台湾积体电路制造股份有限公司 用于形成具有不同鳍高度的finfet的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
CN107039532B (zh) * 2012-03-30 2020-08-25 帝人株式会社 掺杂剂注入层、其形成方法及半导体装置的制造方法
US9633835B2 (en) * 2013-09-06 2017-04-25 Intel Corporation Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
WO2016204786A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Resistance reduction in transistors having epitaxially grown source/drain regions
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
WO2017111810A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Low schottky barrier contact structure for ge nmos
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US10115723B2 (en) * 2016-06-03 2018-10-30 Qualcomm Incorporated Complementary metal oxide semiconductor (CMOS) devices employing plasma-doped source/drain structures and related methods

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1401140A (zh) * 2000-08-14 2003-03-05 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
CN1487599A (zh) * 2002-10-01 2004-04-07 ���ǵ�����ʽ���� 具有多个叠置沟道的场效应晶体管
CN101208805A (zh) * 2005-06-30 2008-06-25 英特尔公司 纳米尺度沟道晶体管的块接触结构
CN102237274A (zh) * 2010-04-22 2011-11-09 台湾积体电路制造股份有限公司 避免激光退火边界效应的激光退火方法
CN104137237A (zh) * 2011-12-23 2014-11-05 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
US20140217502A1 (en) * 2013-02-07 2014-08-07 International Business Machines Corporation Diode Structure and Method for Wire-Last Nanomesh Technologies
CN104752503A (zh) * 2013-12-27 2015-07-01 台湾积体电路制造股份有限公司 用于形成具有不同鳍高度的finfet的方法

Also Published As

Publication number Publication date
US10957784B2 (en) 2021-03-23
CN109427593B (zh) 2021-11-02
TW201913822A (zh) 2019-04-01
US10332985B2 (en) 2019-06-25
US20190067456A1 (en) 2019-02-28
TWI681463B (zh) 2020-01-01
US20190312132A1 (en) 2019-10-10

Similar Documents

Publication Publication Date Title
CN109427593A (zh) 制造半导体装置的方法
US10249624B2 (en) Semiconductor structure containing low-resistance source and drain contacts
US9450097B2 (en) Methods for doping Fin field-effect transistors and Fin field-effect transistor
US10453961B2 (en) Structure and method for SRAM FinfET device
US10283590B2 (en) Field-effect transistors having contacts to 2D material active region
KR101231429B1 (ko) 매립 스트레서를 가지는 반도체 장치
US20060234455A1 (en) Structures and methods for forming a locally strained transistor
CN106816381A (zh) 半导体装置及其制造方法
US11776998B2 (en) Gate-all-around device
JP2009117557A (ja) 相補型半導体装置及びその製造方法
KR20130028941A (ko) 매립된 소스/드레인 실리사이드를 위한 델타 단분자층 도펀트 에피택시
US9496260B1 (en) Tall strained high percentage silicon germanium fins for CMOS
CN109427588A (zh) 制造半导体器件的方法和半导体器件
JP2010287760A (ja) 半導体装置およびその製造方法
US10276719B1 (en) Method of manufacturing a semiconductor device and a semiconductor device
CN109585555A (zh) 制造半导体器件的方法和半导体器件
TWI713642B (zh) 鰭式場效電晶體及其製造方法
CN103325787B (zh) Cmos器件及其制造方法
US9754941B2 (en) Method and structure to form tensile strained SiGe fins and compressive strained SiGe fins on a same substrate
CN103515233B (zh) 半导体器件及其制造方法
CN113471199A (zh) 半导体装置
US20230387301A1 (en) Source/drain features with improved strain properties
CN104183496B (zh) 鳍式场效应晶体管器件的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant