TWI681463B - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TWI681463B
TWI681463B TW107126552A TW107126552A TWI681463B TW I681463 B TWI681463 B TW I681463B TW 107126552 A TW107126552 A TW 107126552A TW 107126552 A TW107126552 A TW 107126552A TW I681463 B TWI681463 B TW I681463B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
semiconductor layers
semiconductor layer
substrate
Prior art date
Application number
TW107126552A
Other languages
English (en)
Other versions
TW201913822A (zh
Inventor
翁翊軒
潘正聖
劉致為
藍偟翔
蔡仲恩
呂芳諒
Original Assignee
台灣積體電路製造股份有限公司
國立臺灣大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 國立臺灣大學 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913822A publication Critical patent/TW201913822A/zh
Application granted granted Critical
Publication of TWI681463B publication Critical patent/TWI681463B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種製造半導體裝置的方法包含在基板上形成具有交替的複數個第一半導體層和複數個第二半導體層的堆疊的鰭狀結構。複數個第一半導體層和複數個第二半導體層包含沿第一半導體層和第二半導體層的長度的第二部分的任一側上的第一部分。複數個第一半導體層和複數個第二半導體層係由不同的材料形成。移除第一半導體層的第二部分以形成開口。形成掩模層於開口上方之最上層的第二半導體層的第二部分上方。利用來自輻射源的輻射照射第一半導體層和第二半導體層的第一部分,以使來自第一半導體層和第二半導體層的第一部分的材料彼此結合。

Description

製造半導體裝置的方法
本揭露涉及製造半導體裝置的方法。
隨著半導體工業進入奈米技術製成節點以追求更高的元件密度、更高的性能和更低的成本,來自製造和設計問題的挑戰導致了三維設計的發展,例如包括鰭式場效應電晶體(fin field effect transistor,Fin FET)和閘極全環場效應電晶體的多閘極場效應電晶體(multi-gate field effect transistor,multi-gate FET)。在鰭式場效應電晶體中,閘極電極與通道區域的三個側表面相鄰,並且其間插入閘極介電層。因為閘極結構在三個表面上圍繞(包裹)鰭片,所以電晶體基本上具有三個閘極來控制通過鰭片或通道區域的電流。不幸的是,位於通道底部的第四側遠離閘極電極,因此不在閘極緊密地控制之下。相較之下,在閘極全環場效應電晶體中,通道區域的所有側表面都被閘極電極包圍,這將允許在通道區域中更充分的耗盡,並且由於更陡峭的次臨界電流擺幅(sub-threshold current swing,SS)和更小的汲極引發位能障下降(drain induced barrier lowering,DIBL)而導致更 少的短通道效應(short-channel effects)。隨著電晶體尺寸不斷縮小到10至15奈米以下的技術節點,因此需要更進一步改進閘極全環場效應電晶體。
本揭露提供一種製造半導體裝置的方法,包含:形成鰭狀結構於基板上,鰭狀結構具有交替的複數個第一半導體層和複數個第二半導體層的堆疊,其中第一半導體層和第二半導體層包含在沿著第一半導體層和第二半導體層的長度的第二部分的任一側上的複數個第一部分,以及其中第一半導體層和第二半導體層由不同的材料形成;移除第一半導體層的第二部分以形成複數個開口;形成掩模層於開口上方之最上層的第二半導體層的第二部分上;使用來自輻射源的輻射照射第一半導體層和第二半導體層的第一部分,以使來自第一半導體層和第二半導體層的第一部分的材料彼此結合。
本揭露提供另一種製造半導體裝置的方法,包含:形成第一半導體層於基板上;形成第二半導體層於第一半導體層上;形成另一個第一半導體層於第二半導體層上;形成另一個第二半導體層於另一個第一半導體層上,其中第一半導體層和第二半導體層由不同的材料形成;圖案化半導體層以形成鰭狀結構;其中鰭狀結構包含複數個通道區域和在通道區域的每一側上的複數個源極/汲極區域;移除通道區域中一部分的第一半導體層;以及選擇性地將第一半導體層和第二半導體層的源極/汲極區域暴露於雷射。
本揭露提供另一種製造半導體裝置的方法,包含:形成第一半導體層於基板上;形成第二半導體層於第一半導體層上;形成另一個第一半導體層於第二半導體層上,其中第一半導體層和第二半導體層由不同的材料形成;圖案化半導體層以形成鰭狀結構,其中鰭狀結構包含通道區域與在通道區域的每一側上的複數個源極/汲極區域;移除通道區域中與基板相鄰的第一半導體層的一部分;移除通道區域中第二半導體層的一部分;以及選擇性地將第一半導體層和第二半導體層的源極/汲極區域暴露於雷射。
10、20、40‧‧‧方法
35、120‧‧‧鰭狀結構
S12、S14、S16、S18、S22、S24、S26、S28、S30、S32、S34、S42、S44、S46、S48、S50、S52、S54‧‧‧操作
100‧‧‧基板
101‧‧‧第一半導體層/第一緩衝層
101'‧‧‧第一半導體層
103‧‧‧第一半導體層/第二緩衝層
102‧‧‧奈米線/第二半導體層/第一通道層
102'、104'‧‧‧奈米線/第二半導體層
104‧‧‧奈米線/第二半導體層/第二通道層
105‧‧‧掩模層
106‧‧‧應力源區域
110‧‧‧輻射
125‧‧‧隔離絕緣層
130‧‧‧開口
150‧‧‧閘極電極結構
155‧‧‧閘極介電質層
160‧‧‧閘極電極層
170‧‧‧埋入氧化物層
175‧‧‧覆蓋半導體層
180‧‧‧開口
185、200、220、240‧‧‧互補金屬氧化物半導體裝置
190、210、230、250‧‧‧p型場效應電晶體
195、205、225、245‧‧‧n型場效應電晶體
Lc‧‧‧通道長度
Lsd‧‧‧源極/汲極應力源的長度
t1、t2、ts‧‧‧厚度
H1‧‧‧高度
W1‧‧‧寬度
A-A、B-B‧‧‧線
X、Y、Z‧‧‧軸
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本揭露。需要強調的是,根據行業的標準慣例,各種特徵不是按比例繪製的且僅是用於說明目的。實際上,為了清楚討論,各種特徵的尺寸可以任意增加或減小。
第1圖繪示根據本揭露之實施例於製造過程的階段之一的閘極全環場效應電晶體半導體裝置的等距視圖。
第2圖是根據本揭露之實施例中製造半導體裝置的方法的流程圖。
第3圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第4圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第5圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第6圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第7圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第8圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第9圖繪示根據本揭露之實施例中在源極/汲極應力源區域相互混合的矽/鍺(Si/Ge)。
第10圖繪示根據本揭露之部分實施例中透過X射線繞射將鍺(Ge)層轉換為矽鍺(SiGe)。
第11圖是根據本揭露之實施例中製造半導體裝置的方法的流程圖。
第12圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第13圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第14圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第15圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第16圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第17圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第18圖繪示根據本揭露之實施例中半導體場效應電晶體裝置的橫截面圖。
第19圖是根據本揭露之實施例中製造半導體裝置的方法的流程圖。
第20圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第21圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第22圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第23圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第24圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第25圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第26圖繪示根據本揭露之實施例中半導體場效應電晶體裝置的橫截面圖。
第27圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第28圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第29圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第30圖繪示了根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第31圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第32圖繪示根據本揭露之實施例中半導體場效應電晶體裝置的橫截面圖。
第33圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第34圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第35圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第36圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第37圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第38圖繪示根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一的橫截面圖。
第39圖繪示根據本揭露之實施例中半導體場效應電晶體裝置的橫截面圖。
應該理解的是,以下公開內容提供了用於實現本揭露之不同特徵的許多不同實施例或示例。以下描述具體實施例或示例的元件和配置以簡化本揭露。當然,這些僅僅是例子,並不意在限制。例如,元件的尺寸不限於所公開的範圍或數值,而是可以取決於製成條件和/或裝置的期望特性。此外,在下面的描述中,在第二特徵上方或之上形成第一特徵可以包括其中第一特徵和第二特徵形成為直接接觸的實施例,並且還可以包括其中可以形成介於第一特徵和第二特徵之間的額外特徵,使得第一特徵和第二特徵可以不直接接觸。為了簡單和清楚起見,各種特徵可以以不同比例任意繪製。
此外,為了便於描述,在此可以使用例如「在...之下」、「在...下方」、「低於」、「在...之上」、「高於」等的開口相對術語來描述一個元件或特徵與如附圖所示之另一個元件或特徵的關係。除了附圖中描繪的方向之外,開口相對術語旨在涵蓋使用或操作中的裝置的不同方位。此裝置可以以其他方式定向(旋轉90度或在其他方位)並且同樣可以相應地解釋這裡使用的開口關係描述符號。另外,術語「由...構成」可以表示「包含」或「由...組成」。在本揭露中,慣用語「A、B和C之一」意指「A、B和/或C」(A、B、C、A和B、A和C、B和C或A、B和C),並且不代表來自A的一個元素、來自B的一個元素和來自C的一個元素,除非另有說明。
在本揭露中,提供了一種用於製造閘極全環場效應電晶體和堆疊的通道場效應電晶體的方法。應注意的是,在本揭露中,源極和汲極可互換使用,並且其結構基本上相同。
本揭露提供了一種透過對堆疊的半導體層進行選擇性雷射退火以形成堆疊的閘極全環場效應電晶體的源極/汲極應力源的簡化製程。源極/汲極應力源中的誘導應變可以透過堆疊層的厚度和組成來調整。使用選擇性雷射退火可以在相同的結構中獲得壓縮和拉伸應變。可以透過雷射退火同時完成摻雜劑的活化和源極/汲極應力的形成。
第1圖繪示根據本揭露之實施例中繪示製造過程中階段之一的閘極全環場效應電晶體半導體裝置的等距視圖。沿X方向延伸的一個或多個閘極電極結構150設置在沿Y方向延伸的一個或多個鰭狀結構120上方。X方向基本上垂直於Y方向。鰭狀結構120形成在半導體基板100上方。鰭狀結構120的下部嵌入隔離絕緣層125中,並且閘極電極結構150纏繞半導體奈米線102。
第2圖是根據本揭露之實施例中製造半導體裝置的方法10的流程圖。在操作S12中,形成具有交替的複數個第一半導體層和複數個第二半導體層之疊層的鰭狀結構於基板上。在部分實施例中,第一半導體層和第二半導體層包括沿著第一半導體層和第二半導體層的長度的第二部分的任一側上的第一部分。在部分實施例中,第一半導體層和第二半導體層由不同的材料形成。接下來,在操作S14中,移除第一半導體層的第二部分以形成開口。隨後在操作S16中,在此開口上方的最上層的第二半導體層的第二部分上形成掩模層。然後在操作S18中,利用來自輻射源的輻射照射第一半導體層和第二半導體層的第一部分,以使來自第一半導體層和第二半導體層之 第一部分的材料彼此結合。
第3圖至第8圖繪示根據本揭露實施例中用於製造閘極全環場效應電晶體的示例性順序過程,包括第2圖之流程圖所示的方法。應該理解,可以在第3圖至第8圖所示的過程之前、期間和之後提供額外的操作,並且對於此方法的其他實施例,下面所描述的一些操作可以被替換或消除。操作/過程的順序可以互換。
第3圖繪示對應於第1圖之線B-B的橫截面圖,其中第1圖係根據本揭露之實施例中製造半導體場效應電晶體裝置的各個階段之一。如第3圖所示,提供了半導體基板100。在部分實施例中,基板100在至少其表面部分上包括單晶半導體層。基板100可以包括單晶半導體材料,例如但不限於矽(Si)、鍺(Ge)、矽鍺(SiGe)、鎵砷(GaAs)、銦銻(InSb)、鎵磷(GaP)、鎵銻(GaSb)、銦鋁砷(InAlAs)、銦鎵砷(InGaAs)、鎵銻磷(GaSbP)、鎵砷銻(GaAsSb)和銦磷(InP)。在部分實施例中,基板100由結晶矽(crystalline Si)製成。
基板100可以在其表面區域中包括一個或多個緩衝層(未繪示)。緩衝層可用於逐漸將基板的晶格常數改變為源極/汲極區域的晶格常數。緩衝層可以由磊晶生長的單晶半導體材料形成,例如但不限於矽(Si)、鍺(Ge)、鍺錫(GeSn)、矽鍺(SiGe)、鎵砷(GaAs)、銦銻(InSb)、鎵磷(GaP)、鎵銻(GaSb)、銦鋁砷(InAlAs)、銦鎵砷(InGaAs)、鎵銻磷(GaSbP)、鎵砷銻(GaAsSb)、鎵氮(GaN)、鎵磷 (GaP)和銦磷(InP)。
雜質離子(摻雜劑)被注入到矽基板100中以形成阱區(未繪示)。執行離子注入以防止貫穿效應(punch-through effect)。基板100可以包括已經適當地摻雜雜質(例如,p型或n型導電性)的各種區域。摻雜劑例如包含是用於n型鰭狀場效應電晶體的硼(BF2)和用於p型鰭狀場效應電晶體的磷。
在本揭露的一個實施例中,如第3圖所示,準備一層堆疊。第一半導體層(第一緩衝層)101設置在基板100上。第二半導體層(第一通道層)102設置在第一半導體層101上。配置另一第一半導體層(第二緩衝層)103於第二半導體層102上。另一第二半導體層(第二通道層)104設置在另一第一半導體層103上方。
在部分實施例中,第一半導體層101、103和第二半導體層102、104交替地堆疊,使得其具有多於兩個的層堆疊,其中每個層堆疊皆有第一半導體層和第二半導體層。在部分實施例中,每個第二半導體層102、104(其變成奈米線或通道層)可以由不同的材料形成。在部分實施例中,第二半導體層102、104的晶格常數大於第一半導體層101、103的晶格常數。在其他實施例中,第二半導體層102、104的晶格常數小於第一半導體層101、103的晶格常數。
在部分實施例中,第一半導體層101、103和第二半導體層102、104由不同的材料製成,此不同的材料係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、 矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在部分實施例中,第一半導體層101、103和第二半導體層102、104透過磊晶形成。在部分實施例中,矽鍺(SiGe)是SixGe1-x,其中0.1
Figure 107126552-A0305-02-0013-3
x
Figure 107126552-A0305-02-0013-4
0.9。
在部分實施例中,第一半導體層101、103由第一半導體材料形成。在部分實施例中,第一半導體材料包括第一IV族元素和第二IV族元素。IV族元素係選自於由碳(C)、矽(Si)、鍺(Ge)、錫(Sn)和鉛(Pb)所組成之群組。在部分實施例中,第一IV族元素是矽(Si)並且第二IV族元素是鍺(Ge)。在部分實施例中,第一半導體材料是Si1-xGex,其中0.3
Figure 107126552-A0305-02-0013-5
x
Figure 107126552-A0305-02-0013-6
0.9,並且在其它實施例中,0.4
Figure 107126552-A0305-02-0013-7
x
Figure 107126552-A0305-02-0013-8
0.7。
在部分實施例中,第二半導體層102、104由第二半導體材料形成。在部分實施例中,第二半導體材料包括第一IV族元素和第二IV族元素。在部分實施例中,第一IV族元素是矽(Si)並且第二IV族元素是鍺(Ge)。在部分實施例中,第一IV族元素和第二IV族元素在第二半導體材料中的含量不同於在第一半導體材料中的含量。在部分實施例中,第一半導體材料中鍺(Ge)的含量大於第二半導體材料中的鍺(Ge)的含量。在部分實施例中,第二半導體材料是Si1-yGey,其中0.1
Figure 107126552-A0305-02-0013-10
y
Figure 107126552-A0305-02-0013-11
0.5且x>y,並且在其他實施例中,0.2
Figure 107126552-A0305-02-0013-12
y
Figure 107126552-A0305-02-0013-13
0.4。
第一半導體層101、103和第二半導體層102、104可以透過一個或多個磊晶生長或磊晶(epitaxial,epi)製程形成。磊晶製程包括化學氣相沉積(chemical vapor deposition,CVD)沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE) 和/或超高真空化學氣相沉積(ultra-high vacuum chemical vapor deposition,UHV-CVD))、分子束磊晶和/或其他合適的製程。
在部分實施例中,第一半導體層101、103和第二半導體層102、104的厚度在約3nm至約100nm的範圍內。在部分實施例中,第二半導體層的厚度t2和第一半導體層之厚度t1的關係為t2/t1=0.2至5。
如第4圖所示,圖案化層堆疊以形成鰭狀結構,然後透過移除第一半導體層101、103之部分的通道區域以隨後在層堆疊中形成通道。在部分實施例中,透過選擇性地蝕刻第一半導體層101、103以去除部分的通道區域而形成開口130。在部分實施例中,使用合適的光刻和蝕刻技術形成開口130。在部分實施例中,在形成開口130之後,使用閘極替換技術。在閘極替代技術中,在開口130中形成包括多晶矽閘極電極的虛設閘極電極結構,並且在對源極/汲極區域執行隨後的處理之後,去除虛設閘極電極結構,並且在開口130中形成閘極電極結構。
在部分實施例中,鰭狀結構包括多個鰭片,包括虛設鰭片以改善在處理期間的圖案保真度。在部分實施例中,使用例如但不限於氫氧化銨(NH4OH)、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(KOH)溶液的濕蝕刻劑對第一半導體層101、103進行選擇性蝕刻。
如第5圖所示,隨後在第二半導體層102、104的通道區域上方形成掩模層105。在部分實施例中,掩模層105由例如金屬的反射材料製成。在部分實施例中,用於掩模層105的金屬係選自於由鋁、鎳、金、鉑、鉻、銅、鋅和鋯所組成之群組。掩模層材料可以透過化學氣相沉積、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、電鍍或其他合適的方法來沉積。在部分實施例中,在沉積掩模層材料之後,透過合適的光刻和蝕刻技術形成掩模層105。在部分實施例中,掩模層105具有實質上等於通道長度的寬度,並且具有從約20nm至約100nm的厚度。
如第6圖所示,隨後使用來自輻射源的輻射110選擇性地照射層堆疊。在部分實施例中,輻射源是雷射或閃光燈,包括氬和氙閃光燈。層堆疊暴露於輻射110達大於0s至約100ms的時間段。具體地,未被掩模層105覆蓋的層堆疊的每個部分暴露大於0s到約100ms的時間段。在部分實施例中,層堆疊的每個部分暴露於輻射110約1ns至約1ms。在其他實施例中,層堆疊的每個部分暴露於輻射110約5ns至約100μs。在其他實施例中,層堆疊的每個部分暴露於輻射110約10ns至約100ns。在暴露於輻射期間,層堆疊的暴露部分經受超快速加熱並達到高達2000℃的溫度。在其他實施例中,層堆疊的暴露部分達到高達1100℃的溫度。由於掩模層105反射輻射,第二半導體層102、104由掩模層105覆蓋或在掩模層105下面的部分基本上不被加熱。
在部分實施例中,輻射的功率密度為約1mJ/cm2至約900mJ/cm2。在部分實施例中,輻射110是來自雷射的雷射。在部分實施例中,雷射的波長在約150nm至約2300nm的範圍內。在其他實施例中,雷射照射使用的波長為約193nm至約1878nm(λ=1878nm相當於鍺(Ge)的能帶隙)。在部分實施例中,使用具有約532nm波長的雷射束。在部分實施例中,用於雷射退火的功率密度為約1mJ/cm2至約900mJ/cm2。在部分實施例中,輻射110的功率密度在約250mJ/cm2至約300mJ/cm2的範圍內。在部分實施例中,在暴露於輻射期間發生動態表面退火。在動態表面退火中,暴露的半導體層之摻雜區域的激活發生在沒有摻雜劑擴散的情況下。在部分實施例中,雷射脈衝的半峰全寬(full-width-at-half-maximum,FWHM)為大約1ns至大約1ms。在部分實施例中,層堆疊上的特定點暴露於雷射束從約10ns至約100μs。雷射束的形狀可以是任何形狀,包括線形、橢圓形、圓形等。
在部分實施例中,雷射具有大約532nm的波長,並且雷射的通量範圍從大約10mJ/cm2至大約80mJ/cm2。因為掩模層105阻擋或反射輻射110,所以層堆疊的源極/汲極區域被輻射選擇性地照射。可以在環境空氣、乾淨的干燥空氣、氮氣(N2)、氮氣和氫氣(N2+H2)、氫氣(H2)或惰性氣體(例如氦氣(He)、氖氣(Ne)和氬氣(Ar))中進行照射。
如第7圖所示,輻射110對鰭狀結構的源極/汲極 區域進行退火,使第一半導體層101、103和第二半導體層102、104結合並形成源極/汲極應力源區域106。例如,如果第一半導體層101、103包括矽鍺(SiGe)並且第二半導體層102、104包括鍺(Ge),則雷射退火使來自矽鍺(SiGe)層的矽(Si)擴散到鍺(Ge)層中,從而產生矽鍺(SiGe)應力源區域106。在部分實施例中,應力源區域106具有實質上均勻的成分(SixGe1-x,其中x在源極/汲極區域內是恆定的),並且在其他實施例中,應力源區域106的成分是漸變(SixGe1-x,其中x隨著橫跨應力源區域106的厚度的增加從0變化到1)。在部分實施例中,源極/汲極應力源區域106的厚度ts和第二半導體層的厚度t2關係為ts/t2=(0.15~6)*n,其中n為第二半導體層104(作為通道層)的數量。
在部分實施例中,在雷射退火之前,使用合適的摻雜劑摻雜源極/汲極區域。在這樣的實施例中,雷射退火附加地激活源極/汲極區域中的摻雜劑。在部分實施例中,源極/汲極區域摻雜有高達2×1020個摻雜劑/cm3。摻雜劑可以是n型或p型摻雜劑,包括砷(As)、銻(Sb)、磷(P)、硼(B)、鎵(Ga)和鋁(Al)中的一種或多種。在部分實施例中,當磊晶形成第一和/或第二半導體層101、102、103、104時,使用原位摻雜摻入摻雜劑。在其他實施例中,使用離子注入、電漿摻雜、固相摻雜或其他摻雜技術。
在部分實施例中,通道長度Lc為大約10nm至大約50nm,並且每個源極/汲極應力源的長度Lsd為大約50nm至大約100nm。
照射之後,使用合適的蝕刻技術去除掩模層105並且執行額外的處理。額外的處理包括在通道區域中形成圍繞奈米線102、104的閘極電極結構150,以形成閘極全環場效應電晶體(GAA FET),如第8圖所示。閘極電極結構150包括環繞奈米線102、104的閘極介電質層155和配置在環繞奈米線102、104的閘極介電質層155上的閘極電極層160。在部分實施例中,在閘極介電質層155和閘極電極層160之間形成界面層和功函數調整層。
在部分實施例中,閘極介電質層155包括一個或多個介電質材料層,其中介電質材料例如氧化矽、氮化矽、高k介電質材料、其他合適的介電質材料,和/或其組合。高k介電質材料的示例包括氧化鉿(HfO2)、矽酸鉿氧化物(HfSiO)、氮氧矽鉿化合物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(HfZrO)、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。
閘極介電質層155可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用例如原子層沉積之高度保形的沉積製程來形成閘極介電質層155,以確保在每個通道層周圍形成具有均勻厚度的閘極介電質層155。在部分實施例中,閘極介電質層155的厚度在約1nm至約6nm的範圍內。
閘極電極層160形成在閘極介電質層155上以包圍每個通道層。閘極電極層160包括一層或多層導電材料,例 如多晶矽;或例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、其他合適材料、和/或其組合的金屬。閘極電極層160可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。
在本揭露的部分實施例中,在閘極介電質層155和閘極電極層160之間插入一個或多個功函數調整層(未繪示)。功函數調整層由導電材料製成,例如單層的氮化鈦(TiN)、氮化鉭(TaN)、碳化鋁鉭(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳化鋁鈦(TiAlC),或者這些材料中的兩種或更多種的多層。對於n通道場效應電晶體,使用氮化鈦(TiN)、碳化鋁鉭(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)、鈷(Co)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鈦(TiSi)和矽化鉭(TaSi)中的一種或多種作為功函數調整層,並且對於p通道場效應電晶體,使用碳化鋁鈦(TiAlC)、鋁(Al)、鋁化鈦(TiAl)、氮化鉭(TaN)、碳鋁化鉭(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)和鈷(Co)中的一種或多種作為功函數調整層。功函數調整層可以透過原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他合適的製程形成。此外,可以分別使用不同的金屬層形成n通道場效應電晶體和p通道場效應電晶體的功函數調整層。
如第9圖所示,對形成在矽(Si)層上的鍺(Ge)層進行雷射退火後,透過二次離子質譜儀(Secondary Ion Mass Spectroscopy,SIMS)的測量觀察在源極/汲極應力源區中矽(Si)/鍺(Ge)的混合。另外,如第10圖所示,X射線繞射(X-Ray Diffraction,XRD)顯示,在雷射退火後,生長的純鍺(Ge)層在源極/汲極應力層中轉變為矽鍺(SiGe),表明矽(Si)已擴散到鍺(Ge)層中。
第11圖是根據本揭露之實施例中製造半導體裝置的另一方法20的流程圖。在操作S22中,在基板上形成第一半導體層,並且在操作S24中,在第一半導體層上形成第二半導體層。在操作S26中,在第二半導體層上形成另一個第一半導體層,並且在操作S28中,在另一個第一半導體層上形成另一個第二半導體層。第一半導體層和第二半導體層由不同的材料形成。接下來,在操作S30中將半導體層圖案化以形成鰭狀結構。鰭狀結構包括通道區域和在通道區域的每一側上的源極/汲極區域。在操作S32中,隨後在通道區域中去除一部分第一半導體層,並且在操作S34中將第一半導體層和第二半導體層的源極/汲極區域選擇性地暴露於雷射。
第12圖至第17圖繪示根據本揭露之實施例中用於製造閘極全環場效應電晶體的示例性順序製程,其包括在第11圖之流程圖中所示的方法。如第12圖所示,在基板100上形成具有鬆弛的第一半導體層101、103和應變的第二半導體層102'、104'之交替的層的層堆疊的鰭狀結構。在部分實施例中,層堆疊形成在包括埋入氧化物層170和覆蓋半導體層175 的埋入氧化物基板上。在部分實施例中,基板是絕緣體上矽(silicon-on-insulator,SOI)基板。
在部分實施例中,鬆弛的第一半導體層101、103係由SixGe1-x形成,其中0.1
Figure 107126552-A0305-02-0021-14
x
Figure 107126552-A0305-02-0021-15
0.9。在部分實施例中,鬆弛的第一半導體層101、103由SixGe1-x形成,其中0.5
Figure 107126552-A0305-02-0021-16
x
Figure 107126552-A0305-02-0021-17
0.7,例如x=0.6。在部分實施例中,應變的第二半導體層102'、104'由SiyGe1-y形成,其中0
Figure 107126552-A0305-02-0021-18
y
Figure 107126552-A0305-02-0021-19
0.1,例如鍺(Ge)。在部分實施例中,應變的第二半導體層102'、104'的晶格常數大於鬆弛的第一半導體層101、103的晶格常數。
使用合適的光刻和蝕刻技術,圖案化第12圖的層堆疊以形成如第13圖所示之多個鰭狀結構35,其中第13圖是沿第1圖的線A-A截取的橫截面圖。在第13圖中,兩個鰭狀結構35沿X方向排列。然而,鰭狀結構的數量並不限於兩個,並且可以小至一個和三個或更多。在部分實施例中,在鰭狀結構35的兩側上形成一個或多個虛設鰭狀結構以提高在圖案化操作中的圖案保真度。如第13圖所示,鰭狀結構35具有由堆疊的半導體層101、102'、103、104'構成的上部和包含有基板100、埋入氧化物層170和覆蓋半導體層175的下部。
在部分實施例中,鰭狀結構35的上部沿X方向的寬度W1在約5nm至約40nm的範圍內,並且在其他實施例中在約10nm至約30nm的範圍內。在部分實施例中,鰭狀結構沿著Z方向的高度H1在約100nm至約200nm的範圍內。
用於閘極全環(gate all around;GAA)電晶體結構之堆疊的鰭狀結構35可以透過任何合適的方法來圖案 化。例如,可以使用一種或多種光刻製程(包括雙重圖案化或多重圖案化製程)來對結構進行圖案化。通常,雙重圖案化或多重圖案化製程結合了光刻和自對準製程,從而允許創建具有例如比使用單一、直接光刻製程可獲得之間距更小的間距的圖案。例如,在一個實施例中,在基板上方形成犧牲層並使用光刻製程進行圖案化。使用自對準製程沿著圖案化的犧牲層形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物來圖案化堆疊的鰭狀結構35。
在形成鰭狀結構35之後,在基板上方形成包括一層或多層絕緣材料的絕緣材料層(未繪示),使得鰭狀結構完全嵌入絕緣層中。用於絕緣層的絕緣材料可以包括由低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積或可流動的化學氣相沉積形成的氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、氮碳化矽(SiCN)、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)或低k介電材料。可以在形成絕緣層之後執行退火操作。然後,執行例如化學機械平坦化(chemical mechanical polishing,CMP)方法和/或回蝕刻方法的平坦化操作,使得半導體層由絕緣材料層中暴露。在部分實施例中,在形成絕緣材料層之前,在鰭狀結構上形成鰭狀襯墊層(未繪示)。鰭狀襯墊層由氮化矽(Si3N4)或氮化矽基材料(例如,氮氧化矽(SiON)、氮碳化矽(SiCN)或氮碳氧化矽(SiOCN))製成。
在部分實施例中,鰭狀襯墊層包括形成在基板 100和鰭狀結構35的底部部分之側壁上的第一鰭狀襯墊層,以及形成在第一鰭狀襯墊層上的第二鰭狀襯墊層。在部分實施例中,每個襯墊層具有在約1nm和約20nm之間的厚度。在部分實施例中,第一鰭狀襯墊層包括氧化矽並且具有在大約0.5nm與大約5nm之間的厚度,並且第二鰭狀襯墊層包括氮化矽並且具有在大約0.5nm與大約5nm之間的厚度。可以透過例如物理氣相沉積(PVD)、化學氣相沉積(CVD)或原子層沉積(ALD)的一個或多個製程來沉積襯墊層,然而,亦可以使用任何可接受的製程。
然後,使絕緣材料層凹陷以形成隔離絕緣層,使得包括半導體層的鰭狀結構35的上部暴露。透過此操作,鰭狀結構35藉由也稱為淺溝槽隔離(shallow trench isolation,STI)的隔離絕緣層彼此電性地隔離。
接下來,選擇性地去除第一半導體層的通道部分以形成開口130,如第14圖所示,由此在通道區域中產生適用於p型場效應電晶體之壓縮應變的第二半導體層102'、104'的奈米線。
隨後在第二半導體層102'、104'的通道區域上形成掩模層105,如第15圖所示。在部分實施例中,掩模層105由例如金屬的反射材料製成。在部分實施例中,用於掩模層105的金屬係選自於由鋁、鎳、金、鉑、鉻、銅、鋅和鋯所組成之群組。掩模層材料可以透過化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、電鍍或其他合適的方法來沉積。在部分實施例中,在沉積掩模層材料之後,透過合適 的光刻和蝕刻技術形成掩模層105。
如第15圖所示,隨後用來自輻射源的輻射110選擇性地照射層堆疊。在部分實施例中,輻射源是雷射或閃光燈,包括氬和氙閃光燈。暴露層堆疊於輻射110係依據本文在第6圖討論的任何條件。
輻射110對鰭狀結構的源極/汲極區域進行退火,使得第一半導體層101、103和第二半導體層102'、104'結合並形成源極/汲極應力源區域106,如第16圖所示。源極/汲極應力源區域106與在此討論的第7圖以類似的方式形成。
在部分實施例中,在退火之前使用合適的摻雜劑摻雜源極/汲極區域。在這樣的實施例中,退火額外地激活源極/汲極區域中的摻雜劑。在部分實施例中,源極/汲極區域摻雜有高達2×1020個摻雜劑/cm3。摻雜劑可以是n型或p型摻雜劑,包括砷(As)、銻(Sb)、磷(P)、硼(B)、鎵(Ga)和鋁(Al)中的一種或多種。在部分實施例中,使用原位摻雜摻入摻雜劑。在其他實施例中,使用離子注入、電漿摻雜、固相摻雜或其他摻雜技術。
在照射之後,使用合適的蝕刻技術去除掩模層105,如第16圖所示,並且執行額外的製程。額外的製程包括在通道區域中形成環繞奈米線102'、104'的閘極電極結構150以形成閘極全環場效應電晶體(GAA FET),如第17圖所示。閘極電極結構150包括圍繞奈米線102、104的閘極介電質層155和配置在圍繞奈米線的閘極介電質層155上的閘極電極層160。在部分實施例中,形成界面層和功函數調整層於閘極介 電質層155和閘極電極層160之間。
在部分實施例中,閘極介電質層155包括一個或多個層的介電質材料,例如氧化矽、氮化矽或高k介電質材料、其他合適的介電質材料、和/或其組合。高k介電質材料的示例包括氧化鉿(HfO2)、矽酸鉿氧化物(HfSiO)、氮氧矽鉿化合物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(HfZrO)、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。
閘極介電質層155可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用例如原子層沉積之高度保形的沉積製程來形成閘極介電質層155,以確保形成具有均勻厚度的閘極介電質層155於每個通道層的周圍。在部分實施例中,閘極介電質層155的厚度在約1nm至約6nm的範圍內。
形成閘極電極層160於閘極介電質層155上以圍繞每個通道層。閘極電極層160包括一層或多層導電材料,例如多晶矽;或金屬,例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、和/或其組合。閘極電極層160可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。
如本文先前所討論的,在本揭露的部分實施例 中,在閘極介電質層155和閘極電極層160之間插入一個或多個功函數調整層(未繪示)。
根據本揭露的實施例,退火第15圖中裝置之源極/汲極區域以產生的源極/汲極應力源區域106,以在通道區域中提供拉伸應變,從而為裝置提供適合於n型場效應電晶體的拉伸應變。因此,根據本揭露的實施例,透過在基板上形成多個裝置,其中一些裝置被選擇性退火,並且基板上的其他裝置未經輻射退火,因而可以在基板上形成n型場效應電晶體和p型場效應電晶體。因此,本揭露提供了用於製造互補金屬氧化物半導體場效應電晶體(complementary metal oxide semiconductor field effect transistors,CMOSFET或CMOS裝置)的改進方法。
在第18圖中繪示根據本揭露的互補金屬氧化物半導體裝置185的實施例。參考第18圖,透過在第14圖的結構的通道區域中形成閘極電極結構150來形成具有處於壓縮應變下的第二半導體層102'、104'的p型場效應電晶體190。n型場效應電晶體195由第17圖的裝置形成。在共同的基板100上形成p型場效應電晶體190和n型場效應電晶體195。在部分實施例中,基板是絕緣體上矽基板,包括形成在基板100上的覆蓋半導體層175(如矽層)和埋入氧化物層170。
第19圖是根據本揭露之實施例中製造半導體裝置的另一方法40的流程圖。在操作S42中,在基板上形成第一半導體層,並且在操作S44中,在第一半導體層上形成第二半導體層。在操作S46中,在第二半導體層上形成另一個第一半 導體層。第一半導體層和第二半導體層由不同的材料形成。接下來,在操作S48中將半導體層圖案化以形成鰭狀結構。鰭狀結構包括通道區域和在通道區域每一側上的源極/汲極區域。在操作S50中,隨後在通道區域中去除與基板相鄰的第一半導體層的一部分。然後在操作S52中,在通道區域中去除第二半導體層的一部分。接下來,在操作S54中,第一半導體層和第二半導體層的源極/汲極區域選擇性地暴露於雷射。
第20圖至第25圖繪示根據本揭露之實施例中用於製造閘極全環場效應電晶體的示例性順序過程,包括於第19圖的流程圖中所示的方法。如第20圖所示,在基板100上形成具有層堆疊之鬆弛的第二半導體層102、應變的第一半導體層101'和另一鬆弛的第二半導體層104的鰭狀結構。在部分實施例中,在基板上提供多個交替的第二半導體層102、第一半導體層101'和另一個第二半導體層104。在一些實施例中,層堆疊形成在包括埋入氧化物層170和覆蓋半導體層175的埋入氧化物基板上。在部分實施例中,基板是絕緣體上矽(SOI)基板。在部分實施例中,覆蓋半導體層175是摻雜磷的矽層。
在部分實施例中,應變的第一半導體層101'由SixGe1-x形成,其中0.1
Figure 107126552-A0305-02-0027-22
x
Figure 107126552-A0305-02-0027-21
0.9。在部分實施例中,應變的第一半導體層101'由SixGe1-x形成,其中0.5
Figure 107126552-A0305-02-0027-23
x
Figure 107126552-A0305-02-0027-24
0.7,例如x=0.6。在部分實施例中,鬆弛的第二半導體層102、104由SiyGe1-y形成,其中0
Figure 107126552-A0305-02-0027-26
y
Figure 107126552-A0305-02-0027-27
0.1,例如鍺(Ge)。在部分實施例中,鬆弛的第二半導體層102、104的晶格常數大於應變的第一半導體層101'的晶格常數。在部分實施例中,與基板相鄰 的第二半導體層102比第一半導體層101'厚並且比遠離基板的第二半導體層104厚。在部分實施例中,遠離基板的第二半導體層104比第一半導體層101'厚。
使用合適的光刻和蝕刻技術,第20圖的層堆疊被圖案化以形成多個鰭片。在部分實施例中,第20圖之層堆疊的圖案化的執行與第13圖討論的方式相同。另外,如前所述,在部分實施例中形成淺溝槽隔離區域。
接下來,選擇性地去除與基板100相鄰的第二半導體層102之部分的通道部分以形成開口180,如第21圖所示。在此實施例中,與基板相鄰的第二半導體層102在通道區域中並未被完全去除。保留在通道區域中與第一半導體層101'相鄰的第二半導體層102的部分厚度。在部分實施例中,鄰近基板的第二半導體層102的約25%至約75%保留在通道區域中。第二半導體層102的下部可以被選擇性地去除,因為第二半導體層102在靠近基板的下部具有缺陷,此缺陷係因覆蓋半導體層175與鄰近基板的第二半導體層102之間的界面處的錯位差排(misfit dislocations)。因此,與基板相鄰的第二半導體層102的下部的蝕刻速率比與基板相鄰的第二半導體層102的上部以及遠離基板的第二半導體層104的蝕刻速率快得多。在部分實施例中,當在與基板相鄰的第二半導體層的下部中形成開口180時,與基板相鄰的第二半導體層102的上部和遠離基板的第二半導體層104將透過蝕刻製程而變薄。在部分實施例中,透過合適的選擇性蝕刻和掩模技術移除第二半導體層102與基板相鄰的部分。在一些示例中,使用由溴化氫(HBr) 和氯(Cl2)形成的電漿進行蝕刻。
接下來,選擇性地移除應變的第一半導體層101'的通道部分以形成開口130,如第22圖所示,由此在通道區域中產生適合於n型場效應電晶體之具有拉伸應變的第二半導體層102、104的奈米線。
隨後在第二半導體層102、104的通道區之上形成掩模層105,如第23圖所示。在部分實施例中,掩模層105由例如金屬的反射材料製成。在部分實施例中,用於掩模層105的金屬係選自於由鋁、鎳、金、鉑、鉻、銅、鋅和鋯所組成之群組。掩模層材料可以透過化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、電鍍或其他合適的方法來沉積。在部分實施例中,在沉積掩模層材料之後,透過合適的光刻和蝕刻技術形成掩模層105。
隨後利用來自輻射源的輻射110選擇性地照射層堆疊,如第23圖所示。在部分實施例中,輻射源是雷射或閃光燈,包括氬和氙閃光燈。根據本文前述於第6圖中所討論的任何條件,層堆疊暴露於輻射110。
如第24圖所示,輻射110對鰭狀結構的源極/汲極區域進行退火,使第二半導體層102、104和第一半導體層101'結合並形成源極/汲極應力源區域106。源極/汲極應力源區域106與在此討論的第7圖以類似的方式形成。
在部分實施例中,在退火之前用合適的摻雜劑摻雜源極/汲極區域。在這樣的實施例中,退火額外地激活源極/汲極區域中的摻雜劑。在部分實施例中,源極/汲極區域摻雜 有高達2×1020個摻雜劑/cm3。摻雜劑可以是n型或p型摻雜劑,包括砷(As)、銻(Sb)、磷(P)、硼(B)、鎵(Ga)和鋁(Al)中的一種或多種。在部分實施例中,使用原位摻雜摻入摻雜劑。在其他實施例中,使用離子注入、電漿摻雜、固相摻雜或其他摻雜技術。
在照射之後,使用合適的蝕刻技術去除掩模層105,如第24圖所示,並且執行附加的製程。附加的製程包括在通道區域中形成圍繞奈米線102、104的閘極電極結構150,以形成閘極全環場效應電晶體(GAA FET),如第25圖所示。閘極電極結構150包括圍繞奈米線102、104的閘極介電質層155和配置在圍繞奈米線的閘極介電質層上的閘極電極層160。在部分實施例中,形成界面層和功函數調整層於閘極介電質層155和閘極電極層160之間。
在部分實施例中,閘極介電質層155包括一層或多層介電質材料,其中介電質材料例如氧化矽、氮化矽或高k介電質材料、其他合適的介電質材料和/或其組合。高k介電質材料的示例包括氧化鉿(HfO2)、矽酸鉿氧化物(HfSiO)、氮氧矽鉿化合物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(HfZrO)、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。
閘極介電質層155可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用例如原子層沉積之高度保形的沉積製程來形成閘極介電質層155,以 確保在每個通道層周圍形成具有均勻厚度的閘極介電質層。在部分實施例中,閘極介電質層155的厚度在約1nm至約6nm的範圍內。
閘極電極層160形成在閘極介電質層155上以圍繞每個通道層。閘極電極層160包括一層或多層導電材料,例如多晶矽;或金屬,例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、和/或其組合。閘極電極層160可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。
如本文先前所討論的,在本揭露的部分實施例中,一個或多個功函數調整層(未繪示)介於閘極介電質層155和閘極電極層160之間。
根據本揭露的實施例,第24圖中裝置之源極/汲極區域的退火可產生源極/汲極應力源區域106,以在通道區域中提供拉伸應變,由此為裝置提供適合於n型場效應電晶體的拉伸應變。因此,根據本揭露的實施例,藉由在基板上形成多個裝置,其中一些裝置被選擇性退火,並且基板上的其他裝置並未經輻射退火,因而可以在基板上形成n型場效應電晶體和p型場效應電晶體。因此,本揭露提供了用於製造互補式金屬氧化物半導體裝置的改進方法。
第26圖繪示根據本揭露的互補式金屬氧化物半導體裝置200的示例。如第26圖所示,透過在第22圖之結構的 通道區域中形成閘極電極結構150,以形成具有拉伸應變的奈米線102、104的n型場效應電晶體205。p型場效應電晶體210由第25圖的裝置形成。p型場效應電晶體210和n型場效應電晶體205形成在共同的基板100上。在部分實施例中,n型場效應電晶體可以由第25圖的裝置形成而不需退火源極/汲極區域。在部分實施例中,基板是絕緣體上矽基板,包括形成在基板100上的覆蓋半導體層175和埋入氧化物層170。
第27圖至第31圖繪示根據本揭露之實施例中用於製造閘極全環場效應電晶體的示例性順序過程。如第27圖所示,在基板100上形成具有鬆弛的第一半導體層101、應變的第二半導體層102'、另一個鬆弛的第一半導體層103和另一個應變的第二半導體層104'之層堆疊的鰭狀結構。在部分實施例中,在基板上提供多個交替的第一半導體層101、103和第二半導體層102'、104。在部分實施例中,層堆疊形成在包括埋入氧化物層170和覆蓋半導體層175的埋入氧化物基板上。在部分實施例中,基板是絕緣體上矽(SOI)基板。
在部分實施例中,鬆弛的第一半導體層101、103由SixGe1-x形成,其中0.1
Figure 107126552-A0305-02-0032-28
x
Figure 107126552-A0305-02-0032-29
0.9。在部分實施例中,鬆弛的第一半導體層101、103由SixGe1-x形成,其中0.5
Figure 107126552-A0305-02-0032-30
x
Figure 107126552-A0305-02-0032-31
0.7,例如x=0.6。在部分實施例中,應變的第二半導體層102'、104'由SiyGe1-y形成,其中0.9
Figure 107126552-A0305-02-0032-32
y
Figure 107126552-A0305-02-0032-33
1,例如矽(Si)。在部分實施例中,鬆弛的第一半導體層101、103的晶格常數大於應變的第二半導體層102'、104'的晶格常數。在部分實施例中,與基板100相鄰的第一半導體層101比第二半導體層 102'、104'厚並且比遠離基板100的第一半導體層103厚。在部分實施例中,第二半導體層102'、104'比遠離基板100的第一半導體層103厚。
使用合適的光刻和蝕刻技術,圖案化第27圖的層堆疊,以形成多個鰭片。在部分實施例中,第27圖的層堆疊的圖案化係與在此討論之第13圖以相同的方式執行。另外,如前所述,在部分實施例中形成淺溝槽隔離區域。
接下來,選擇性地去除第一半導體層101、103的通道部分以形成開口130,如第28圖所示。透過合適的選擇性蝕刻和掩模技術去除第一半導體層101、103的通道部分。在部分實施例中,使用適當的掩模和氯(Cl2)/溴化氫(HBr)電漿蝕刻劑來執行選擇性蝕刻。如第28圖所示,選擇性地去除第一半導體層(矽鍺(SiGe)層)的通道部分,以在通道區域中產生適用於n型場效應電晶體之具有拉伸應變的奈米線。
如第29圖所示,隨後在第二半導體層102'、104'的通道區域上方形成掩模層105。在部分實施例中,掩模層105由例如金屬的反射材料製成。在部分實施例中,用於掩模層105的金屬係選自於由鋁、鎳、金、鉑、鉻、銅、鋅和鋯所組成之群組。掩模層材料可以透過化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、電鍍或其他合適的方法來沉積。在部分實施例中,在沉積掩模層材料之後,透過合適的光刻和蝕刻技術形成掩模層105。
如第29圖所示,隨後用來自輻射源的輻射110選擇性地照射層堆疊。在部分實施例中,輻射源是雷射或閃光 燈,包括氬和氙閃光燈。根據前述本文在此討論之第6圖的任何條件,層堆疊暴露於輻射110。
如第30圖所示,輻射110對鰭狀結構的源極/汲極區域進行退火,使第一半導體層101、103和第二半導體層102'、104'結合並形成源極/汲極應力源區域106。源極/汲極應力源區域106與在此討論之第7圖以類似的方式形成。
在部分實施例中,在退火之前,使用合適的摻雜劑摻雜源極/汲極區域。在這樣的實施例中,退火額外地激活源極/汲極區域中的摻雜劑。在部分實施例中,源極/汲極區域摻雜有高達2×1020個摻雜劑/cm3。摻雜劑可以是n型或p型摻雜劑,包括砷(As)、銻(Sb)、磷(P)、硼(B)、鎵(Ga)和鋁(Al)中的一種或多種。在部分實施例中,使用原位摻雜摻入摻雜劑。在其他實施例中,使用離子注入、電漿摻雜、固相摻雜或其他摻雜技術。
如第30圖所示,照射之後,使用合適的蝕刻技術去除掩模層105,並且執行附加的製程。附加的製程包括在通道區域中形成環繞奈米線102'、104'的閘極電極結構150以形成閘極全環場效應電晶體(GAA FET),如第31圖所示。閘極電極結構150包括圍繞奈米線102'、104'的閘極介電質層155和配置在圍繞奈米線的閘極介電質層上的閘極電極層160。在部分實施例中,界面層和功函數調整層形成在閘極介電質層155和閘極電極層160之間。
在部分實施例中,閘極介電質層155包括一層或多層介電質材料,其中介電質材料例如氧化矽、氮化矽或高k 介電質材料、其他合適的介電質材料和/或其組合。高k介電質材料的示例包括氧化鉿(HfO2)、矽酸鉿氧化物(HfSiO)、氮氧矽鉿化合物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(HfZrO)、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。
閘極介電質層155可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用例如原子層沉積之高度保形的沉積製程來形成閘極介電質層155,以確保在每個通道層周圍形成具有均勻厚度的閘極介電質層155。在部分實施例中,閘極介電質層155的厚度在約1nm至約6nm的範圍內。
閘極電極層160形成在閘極介電質層155上以圍繞每個通道層。閘極電極層160包括一層或多層導電材料,例如多晶矽;或金屬,例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、和/或其組合。閘極電極層160可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。
如本文先前所討論的,在本揭露的部分實施例中,一個或多個功函數調整層(未繪示)介於閘極介電質層155和閘極電極層160之間。
根據本揭露的實施例,退火第29圖中裝置之源極 /汲極區域,以產生源極/汲極應力源區域106,以在通道區域中提供壓縮應變,從而為裝置提供適用於p型場效應電晶體的壓縮應變。因此,根據本揭露的實施例,透過在基板上形成多個裝置,其中一些裝置被選擇性退火,並且基板上的其他裝置未經輻射退火,因而可以在基板上形成n型場效應電晶體和p型場效應電晶體。因此,本揭露提供了用於製造互補式金屬氧化物半導體裝置的改進方法。
第32圖繪示根據本揭露之互補式金屬氧化物半導體裝置220的示例。如第32圖所示,透過在第28圖的結構的通道區域中形成閘極電極結構150來形成具有處於拉伸應變下的第二半導體層102'、104'的n型場效應電晶體225。在第31圖的裝置中形成具有處於壓縮應變下的奈米線102'、104'的p型場效應電晶體230。p型場效應電晶體230和n型場效應電晶體225形成在共同的基板100上。在部分實施例中,基板是絕緣體上矽基板,包括形成在基板100上的覆蓋半導體層175和埋入氧化物層170。
第33圖至第38圖繪示根據本揭露之實施例中用於製造閘極全環場效應電晶體的示例性順序過程。在基板100上形成具有鬆弛的第二半導體層102、應變的第一半導體層101'和另一鬆弛的第二半導體層104之層堆疊的鰭狀結構,如第33圖所示。在部分實施例中,多個交替的第二半導體層102、第一半導體層101'和另一個第二半導體層104設置在基板上。在部分實施例中,層堆疊形成在埋入氧化物基板上,其包括形成在基板上的埋入氧化物層170。
在部分實施例中,應變的第一半導體層101'由SixGe1-x形成,其中0.1
Figure 107126552-A0305-02-0037-34
x
Figure 107126552-A0305-02-0037-35
0.9。在部分實施例中,應變的第一半導體層101由SixGe1-x形成,其中0.5
Figure 107126552-A0305-02-0037-36
x
Figure 107126552-A0305-02-0037-37
0.7,例如x=0.6。在部分實施例中,鬆弛的第二半導體層102、104由SiyGe1-y形成,其中0.9
Figure 107126552-A0305-02-0037-39
y
Figure 107126552-A0305-02-0037-40
1,例如矽(Si)。在部分實施例中,鬆弛的第二半導體層102、104的晶格常數小於應變的第一半導體層101'的晶格常數。在部分實施例中,與基板相鄰的第二半導體層102比第一半導體層101'厚並且比遠離基板的第二半導體層104厚。在部分實施例中,遠離基板的第二半導體層104比第一半導體層101'厚。
使用合適的光刻和蝕刻技術,圖案化第33圖的層堆疊以形成多個鰭片。第33圖中層堆疊的圖案化與在此討論的第13圖係以相同的方式執行。另外,如前所述,在部分實施例中形成淺溝槽隔離區域。
接下來,如第34圖所示,選擇性地去除與基板100相鄰的第二半導體層102之通道部分的一部分以形成開口180。在本實施例中,與基板相鄰的第二半導體層102在通道區域中並未被完全去除。保留在通道區域中與第一半導體層101'相鄰的第二半導體層102的部分厚度。在部分實施例中,鄰近基板的第二半導體層102的約25%至約75%保留在通道區域中。在部分實施例中,第二半導體層102的與基板相鄰的部分透過合適的選擇性蝕刻和掩模技術被去除。在一些示例中,使用由溴化氫(HBr)和氯(Cl2)形成的電漿進行蝕刻。
接下來,選擇性地移除應變的第一半導體層101' 的通道部分以形成開口130,如第35圖所示,從而在具有鬆弛的通道的通道區域中產生適合於n型場效應電晶體或p型場效應電晶體的第二半導體層102、104的奈米線。
隨後在第二半導體層102、104的通道區域上方形成掩模層105,如第36圖所示。在部分實施例中,掩模層105由例如金屬的反射材料製成。在部分實施例中,用於掩模層105的金屬係選自於由鋁、鎳、金、鉑、鉻、銅、鋅和鋯所組成之群組。掩模層材料可以透過化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、電鍍或其他合適的方法來沉積。在部分實施例中,在沉積掩模層材料之後,透過合適的光刻和蝕刻技術形成掩模層105。
如第36圖所示,隨後用來自輻射源的輻射110選擇性地照射層堆疊。在部分實施例中,輻射源是雷射或閃光燈,包括氬和氙閃光燈。根據本文在此討論的第6圖的任何條件,層堆疊暴露於輻射110。
如第37圖所示,輻射110對鰭狀結構的源極/汲極區域進行退火,使第二半導體層102、104和第一半導體層101'結合並形成源極/汲極應力源區域106。源極/汲極應力源區域106與在此討論的第7圖以類似的方式形成。
在部分實施例中,在退火之前,使用合適的摻雜劑摻雜源極/汲極區域。在這樣的實施例中,退火額外地激活源極/汲極區域中的摻雜劑。在部分實施例中,源極/汲極區域摻雜有高達2×1020個摻雜劑/cm3。摻雜劑可以是n型或p型摻雜劑,包括砷(As)、銻(Sb)、磷(P)、硼(B)、鎵(Ga) 和鋁(Al)中的一種或多種。在部分實施例中,使用原位摻雜摻入摻雜劑。在其他實施例中,使用離子注入、電漿摻雜、固相摻雜或其他摻雜技術。
在照射之後,使用合適的蝕刻技術去除掩模層105,如第37圖所示,並且執行附加的製程。附加的製程包括在通道區域中形成圍繞奈米線102、104的閘極電極結構150,以形成閘極全環場效應電晶體(GAA FET),如第38圖所示。閘極電極結構150包括環繞奈米線102、104的閘極介電質層155和設置在環繞奈米線的閘極介電質層155上的閘極電極層160。在部分實施例中,界面層和功函數調整層形成在閘極介電質層155和閘極電極層160之間。
在部分實施例中,閘極介電質層155包括一個或多個介電質材料層,例如氧化矽、氮化矽或高k介電質材料、其它合適的介電質材料,和/或其組合。高k介電質材料的示例包括氧化鉿(HfO2)、矽酸鉿氧化物(HfSiO)、氮氧矽鉿化合物(HfSiON)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鉿鋯氧化物(HfZrO)、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。
閘極介電質層155可以透過化學氣相沉積、原子層沉積或任何合適的方法形成。在一個實施例中,使用例如原子層沉積之高度保形的沉積製程來形成閘極介電質層155,以確保在每個通道層周圍形成具有均勻厚度的閘極介電質層155。在部分實施例中,閘極介電質層155的厚度在約1nm至約6nm 的範圍內。
閘極電極層160形成在閘極介電質層155上以圍繞每個通道層。閘極電極層160包括一層或多層導電材料,例如多晶矽;或金屬,例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮鋁化鈦(TiAlN)、氮化碳鉭(TaCN)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、金屬合金、和/或其組合。閘極電極層160可以透過化學氣相沉積、原子層沉積、電鍍或其他合適的方法形成。
如本文先前所討論的,在本揭露的部分實施例中,一個或多個功函數調整層(未繪示)介於閘極介電質層155和閘極電極層160之間。
根據本揭露的實施例,退火第36圖中裝置的源極/汲極區域產生源極/汲極應力源區域106,以在通道區域中提供壓縮應變,從而為裝置提供適用於n型場效應電晶體的壓縮應變。因此,根據本揭露的實施例,透過在基板上形成多個裝置,其中一些裝置被選擇性退火,並且基板上的其他裝置未經輻射退火,因而可以在基板上形成n型場效應電晶體和p型場效應電晶體。因此,本揭露提供了用於製造互補式金屬氧化物半導體裝置的改進方法。
第39圖繪示根據本揭露的互補式金屬氧化物半導體裝置240的示例。在第39圖中,透過在第35圖的結構的通道區域中形成閘極電極結構150來形成具有鬆弛的奈米線102、104的n型場效應電晶體245。p型場效應電晶體250由第38圖的裝 置形成。p型場效應電晶體250和n型場效應電晶體245形成在共同的基板100上。在部分實施例中,p型場效應電晶體可以不退火源極/汲極區域而由第35圖的裝置形成。在部分實施例中,在基板100上形成埋入氧化物層170。
在本揭露的其他實施例中,表1列出了被一起使用之成對的第一半導體層和第二半導體層,其中第一半導體層形成通道奈米線,並且第二半導體層與第一半導體層結合以形成源極/汲極區域。
Figure 107126552-A0305-02-0041-1
應該理解,可以執行額外的製程。根據本揭露之實施例,後續製程包括在裝置上形成各種接觸/通孔/線和多層互連特徵(例如,金屬層和層間介電質),其被配置為連接半導體裝置的各種特徵或結構。
本揭露的實施例減少了在半導體裝置中提供源極/汲極應力源所需的操作數量,從而減少了製程時間和成本。本 揭露的實施例在簡化的閘極全環場效應電晶體製造方法中提供了壓縮應變半導體裝置和拉伸應變半導體裝置。根據本揭露的實施例,透過使用選擇性退火,可以在相同的結構中獲得壓縮應變和拉伸應變。另外,可以透過調整層堆疊中半導體層的厚度和組成以在本揭露的實施例中調整誘導應變。此外,根據本揭露的實施例,可以透過選擇性退火同時完成摻雜劑的激活和源極/汲極應力源的形成。本揭露提供了製造互補式金屬氧化物半導體裝置之簡化的、具有成本效益的方法。
應該理解,並非所有的優點都已經在本文中進行了必然的討論,對於所有實施例或示例皆不需要特別的優點,並且其他實施例或示例可以提供不同的優點。
本揭露的一個實施例是一種製造半導體裝置的方法,包括在基板上形成具有交替的第一半導體層和第二半導體層的堆疊的鰭狀結構。第一半導體層和第二半導體層包括沿第一半導體層和第二半導體層的長度的第二部分的任一側上的第一部分。第一半導體層和第二半導體層由不同的材料形成。移除第一半導體層的第二部分以形成開口。形成掩模層於開口上方之最上層的第二半導體層的第二部分上方。利用來自輻射源的輻射照射第一半導體層和第二半導體層的第一部分,以使來自第一半導體層和第二半導體層的第一部分的材料彼此結合。在一個實施例中,輻射源是雷射。在一個實施例中,雷射具有約150nm至約2300nm的波長。在一個實施例中,第一半導體層和第二半導體層係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫 (Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在一個實施例中,第一半導體層包括SixGe1-x,其中0.1
Figure 107126552-A0305-02-0043-41
x
Figure 107126552-A0305-02-0043-42
0.9,並且第二半導體層包括矽(Si)或鍺(Ge)。在一個實施例中,第二半導體層的厚度t2和第一半導體層的厚度t1關係為t2/t1=0.2至5。在一個實施例中,第一半導體層和第二半導體層彼此結合的第一部分厚度ts和第二半導體層的厚度t2關係為ts/t2=(0.15~6)* n,其中n為第二半導體層的數量。在一個實施例中,此方法包括在照射第一半導體層和第二半導體層的第一部分之後去除掩模層。在一個實施例中,此方法包括形成環繞第二半導體層的閘極電極結構。
本揭露的另一個實施例是一種製造半導體裝置的方法,包括在基板上形成第一半導體層並且在第一半導體層上形成第二半導體層。另一個第一半導體層形成在第二半導體層上,另一個第二半導體層形成在另一個第一半導體層上。第一半導體層和第二半導體層由不同的材料形成。圖案化半導體層以形成鰭狀結構。鰭狀結構包括通道區域和在通道區域的每一側上的和源極/汲極區域。在通道區域中去除一部分第一半導體層。第一半導體層和第二半導體層的源極/汲極區域選擇性地暴露於雷射。在一個實施例中,雷射具有約150nm至約2300nm的波長。在一個實施例中,第一半導體層和第二半導體層係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在一個實施例中,第一半導體層包括SixGe1-x,其中0.1
Figure 107126552-A0305-02-0043-43
x
Figure 107126552-A0305-02-0043-44
0.9,第二半導體層包括矽(Si)或 鍺(Ge)。在一個實施例中,第二半導體層的厚度t2和第一半導體層的厚度t1關係為t2/t1=0.2至5。在一個實施例中,暴露於雷射之後的源極/汲極區域的厚度ts和第二半導體層的厚度t2關係聯為ts/t2=(0.15~6)* n,其中n為第二半導體層的數量。在一個實施例中,此方法包括在形成第一半導體層和第二半導體層之前在半導體基板上形成絕緣層。
本揭露的另一個實施例是一種製造半導體裝置的方法,包括在基板上形成第一半導體層,並且在第一半導體層上形成第二半導體層。另一個第一半導體層形成在第二半導體層上。第一半導體層和第二半導體層由不同的材料形成。圖案化半導體層以形成鰭狀結構。鰭狀結構包括通道區域和在通道區域的每一側上的源極/汲極區域。移除在通道區域中與基板相鄰的第一半導體層的一部分。移除通道區域中第二半導體層的一部分。選擇性地暴露第一半導體層和第二半導體層的源極/汲極區域於雷射。在一個實施例中,第一半導體層和第二半導體層係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在一個實施例中,第一半導體層包括SixGe1-x,其中0.1
Figure 107126552-A0305-02-0044-45
x
Figure 107126552-A0305-02-0044-46
0.9,第二半導體層包括矽(Si)或鍺(Ge)。在一個實施例中,此方法包括在形成第一半導體層和第二半導體層之前在半導體基板上形成絕緣層。
本揭露的另一個實施例是一種半導體裝置,其包括包含第一半導體材料和第二半導體材料的混合物的源極/汲極 區域應力源區域。第一半導體材料和第二半導體材料是不同的材料。多個奈米線由在通道區域之每一側上的源極/汲極區域之間延伸的通道區域中的第二半導體材料形成。在一個實施例中,第二半導體材料係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在一個實施例中,第二半導體材料是矽(Si)或鍺(Ge)。在一個實施例中,源極/汲極區域包括從由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)所組成之群組中選擇的兩種或更多種半導體材料的混合物。在一個實施例中,通道區域中源極/汲極區域的厚度ts和第二半導體材料層的厚度t2關係為ts/t2=(0.15~6)* n,其中n為第二半導體材料層的數量。在一個實施例中,在通道區域中的第二半導體材料奈米線受到應變。在一個實施例中,奈米線和源極/汲極區域形成在半導體基板上,絕緣層位於半導體基板與奈米線和源極/汲極區域之間。在一個實施例中,絕緣層是基板的氧化物。在一個實施例中,半導體裝置包括圍繞奈米線的閘極電極結構。
本揭露的另一個實施例是一種半導體裝置,包括第一電晶體和第二電晶體。每個電晶體包括在通道區域的每側上的源極/汲極區域之間延伸的通道區域中的多個奈米線。第一電晶體或第二電晶體中的至少一個的源極/汲極區是包括第一半導體材料和第二半導體材料的混合物的應力源區域,並且第 一半導體材料和第二半導體材料是不同的材料。奈米線由第二半導體材料形成。在一個實施例中,第二半導體材料係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。在一個實施例中,第二半導體材料是矽(Si)或鍺(Ge)。在一個實施例中,源極/汲極區域包括從由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)所組成之群組中選擇的兩種或更多種半導體材料的混合物。在一個實施例中,通道區域中的源極/汲極區域的厚度ts和第二半導體材料層的厚度t2關係為ts/t2=(0.15~6)* n,其中n為第二半導體材料層的數量。在一個實施例中,在第一電晶體中,第二半導體材料奈米線在通道區域中處於壓縮應變下。在一個實施例中,在第二電晶體中,第二半導體材料奈米線在通道區域中處於拉伸應變下。在一個實施例中,第一電晶體和第二電晶體形成在半導體基板上,並且絕緣層位於半導體基板與第一電晶體和第二電晶體之間。在一個實施例中,絕緣層是氧化物層。
本揭露的另一個實施例是一種半導體裝置,其包括半導體基板,以及形成在半導體基板上的p型場效應電晶體(pFET)和n型場效應電晶體(nFET)。每個p型場效應電晶體和n型場效應電晶體皆包括在通道區域的每側上的源極/汲極區域之間延伸的通道區域中的多個奈米線。閘極電極結構環繞每個奈米線。p型場效應電晶體或n型場效應電晶體中的至少 一個的源極/汲極區域是包括第一半導體材料和第二半導體材料的混合物的應力源區域,並且第一半導體材料和第二半導體材料是不同的材料。奈米線由第二半導體材料形成。在一個實施例中,閘極電極結構包括環繞在每個奈米線周圍的高k閘極介電質層和環繞在每個高k介電質層周圍的金屬閘極電極層。
前面概述了幾個實施例或示例的特徵,以使得本領域技術人員可以更好地理解本揭露的各方面。本領域的技術人員應該理解,他們可以容易地使用本揭露作為用於設計或修改用於執行相同目的和/或實現本文所介紹的實施例或示例的相同優點的其他過程和結構的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離本揭露的精神和範圍,並且可以在不脫離本揭露的精神和範圍的情況下進行各種改變、替換和變更。
10‧‧‧方法
S12、S14、S16、S18‧‧‧操作

Claims (10)

  1. 一種製造半導體裝置的方法,包含:形成一鰭狀結構於一基板上,該鰭狀結構具有交替的複數個第一半導體層和複數個第二半導體層的一堆疊,其中該些第一半導體層和該些第二半導體層包含複數個第一部分,該些第一部分位於沿著該些第一半導體層和該些第二半導體層的一長度的一第二部分的任一側上,該些第一半導體層和該些第二半導體層由不同的材料形成;移除該些第一半導體層的該第二部分以形成複數個開口;形成一掩模層於該些開口上方之該些第二半導體層中的最頂層的該第二部分上;以及當該掩模層位於該些開口上方之該些第二半導體層中的最頂層的該第二部分上時,使用來自一輻射源的輻射選擇性退火該些第一半導體層和該些第二半導體層的該些第一部分,以使來自該些第一半導體層和該些第二半導體層的該些第一部分的材料彼此結合。
  2. 如請求項1所述之方法,其中該些第二半導體層的一厚度t2與該些第一半導體層的一厚度t1的關係為t2/t1=0.2~5。
  3. 如請求項1所述之方法,其中該些第一半導體層和該些第二半導體層彼此結合的該些第一部分的一厚度ts和該些第二半導體層的一厚度t2的關係為ts/t2= (0.15~6)* n,其中n為該些第二半導體層的數量。
  4. 如請求項1所述之方法,更包含在照射該些第一半導體層和該些第二半導體層的該些第一部分之後移除該掩模層。
  5. 一種製造半導體裝置的方法,包含:形成一第一半導體層於一基板上;形成一第二半導體層於該第一半導體層上;形成另一個第一半導體層於該第二半導體層上;形成另一個第二半導體層於該另一個第一半導體層上,其中該些第一半導體層和該些第二半導體層由不同的材料形成;圖案化該些第一及第二半導體層以形成一鰭狀結構;其中該鰭狀結構包含複數個通道區域和在該些通道區域的兩側上的複數個源極/汲極區域;移除該通道區域中一部分的該些第一半導體層以形成複數個開口;形成一掩模層於該些開口上方之該些第二半導體層中的最頂層;以及當該掩模層位於該些開口上方之該些第二半導體層中的最頂層時,選擇性地將該些第一半導體層和該些第二半導體層的該些源極/汲極區域以一雷射退火。
  6. 如請求項5所述之方法,其中該雷射具有約 150nm至約2300nm的一波長。
  7. 如請求項5所述之方法,其中該些第一半導體層和該些第二半導體層係選自於由矽(Si)、鍺(Ge)、矽鍺(SiGe)、鍺錫(GeSn)、矽/矽鍺/鍺/鍺錫(Si/SiGe/Ge/GeSn)、矽鍺錫(SiGeSn)及其組合所組成之群組。
  8. 如請求項5所述之方法,其中該些第一半導體層包含SixGe1-x,其中0.1
    Figure 107126552-A0305-02-0051-48
    x
    Figure 107126552-A0305-02-0051-49
    0.9,並且該些第二半導體層包含矽(Si)或鍺(Ge)。
  9. 如請求項5所述之方法,更包含在形成該些第一半導體層和該些第二半導體層之前在該基板上形成一絕緣層。
  10. 一種製造半導體裝置的方法,包含:形成一第一半導體層於一基板上;形成一第二半導體層於該第一半導體層上;形成另一個第一半導體層於該第二半導體層上,其中該些第一半導體層和該第二半導體層由不同的材料形成;圖案化該些第一半導體層及該第二半導體層以形成一鰭狀結構;其中該鰭狀結構包含一通道區域與在該通道區域的兩側上的複數個源極/汲極區域; 移除該通道區域中與該基板相鄰的該第一半導體層的一第一部分,以形成鄰近該基板的一第一開口;移除該通道區域中該第二半導體層的一第二部分,以在該第一開口上方形成一第二開口;形成一掩模層於該第一開口以及該第二開口上方之該些第一半導體層中的最頂層;以及當該掩模層位於該第一開口以及該第二開口上方之該些第一半導體層中的最頂層時,選擇性地將該些第一半導體層和該第二半導體層的該些源極/汲極區域以一雷射輻射退火。
TW107126552A 2017-08-31 2018-07-31 製造半導體裝置的方法 TWI681463B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762553010P 2017-08-31 2017-08-31
US62/553,010 2017-08-31
US15/940,423 US10332985B2 (en) 2017-08-31 2018-03-29 Semiconductor device and manufacturing method thereof
US15/940,423 2018-03-29

Publications (2)

Publication Number Publication Date
TW201913822A TW201913822A (zh) 2019-04-01
TWI681463B true TWI681463B (zh) 2020-01-01

Family

ID=65436088

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126552A TWI681463B (zh) 2017-08-31 2018-07-31 製造半導體裝置的方法

Country Status (3)

Country Link
US (2) US10332985B2 (zh)
CN (1) CN109427593B (zh)
TW (1) TWI681463B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102102829B1 (ko) * 2013-09-27 2020-04-22 인텔 코포레이션 실리콘 상의 이종 집적화를 위한 템플릿 엔지니어링을 통한 개선된 클래딩층 에피택시
US10763347B2 (en) * 2016-12-14 2020-09-01 Intel Corporation Quantum well stacks for quantum dot devices
US11264501B2 (en) * 2017-09-29 2022-03-01 Intel Corporation Device, method and system for promoting channel stress in a NMOS transistor
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US11502079B2 (en) * 2019-08-21 2022-11-15 Qualcomm Incorporated Integrated device comprising a CMOS structure comprising well-less transistors
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11335552B2 (en) * 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
KR20220092103A (ko) 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140217502A1 (en) * 2013-02-07 2014-08-07 International Business Machines Corporation Diode Structure and Method for Wire-Last Nanomesh Technologies
US20150053263A1 (en) * 2012-03-30 2015-02-26 Teijin Limited Semiconductor laminate and method for manufacturing same, method for manufacturing semiconductor device, semiconductor device, dopant composition, dopant injection layer, and method for forming doped layer
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100358147C (zh) * 2000-08-14 2007-12-26 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US8304354B2 (en) * 2010-04-22 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods to avoid laser anneal boundary effect within BSI CMOS image sensor array
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US9087863B2 (en) * 2011-12-23 2015-07-21 Intel Corporation Nanowire structures having non-discrete source and drain regions
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9633835B2 (en) * 2013-09-06 2017-04-25 Intel Corporation Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9184087B2 (en) * 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
CN107743656A (zh) * 2015-06-19 2018-02-27 英特尔公司 具有外延生长的源极/漏极区的晶体管中的电阻减小
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
DE112015007227T5 (de) * 2015-12-24 2018-09-13 Intel Corporation Kontaktstruktur mit niedriger Schottky-Barriere für Ge-NMOS
US10115723B2 (en) * 2016-06-03 2018-10-30 Qualcomm Incorporated Complementary metal oxide semiconductor (CMOS) devices employing plasma-doped source/drain structures and related methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150053263A1 (en) * 2012-03-30 2015-02-26 Teijin Limited Semiconductor laminate and method for manufacturing same, method for manufacturing semiconductor device, semiconductor device, dopant composition, dopant injection layer, and method for forming doped layer
US20140217502A1 (en) * 2013-02-07 2014-08-07 International Business Machines Corporation Diode Structure and Method for Wire-Last Nanomesh Technologies
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation

Also Published As

Publication number Publication date
US20190312132A1 (en) 2019-10-10
CN109427593A (zh) 2019-03-05
US20190067456A1 (en) 2019-02-28
US10332985B2 (en) 2019-06-25
TW201913822A (zh) 2019-04-01
CN109427593B (zh) 2021-11-02
US10957784B2 (en) 2021-03-23

Similar Documents

Publication Publication Date Title
TWI681463B (zh) 製造半導體裝置的方法
US11211455B2 (en) Formation of dislocations in source and drain regions of FinFET devices
US11728219B2 (en) Method for fabricating a semiconductor device
TWI767159B (zh) 半導體裝置及其製造方法
KR102354012B1 (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
US11776998B2 (en) Gate-all-around device
US11830947B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11508621B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
CN114664927A (zh) 制造半导体器件的方法和半导体器件
US11626507B2 (en) Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration
US11955551B2 (en) Semiconductor device and manufacturing method thereof
TW202309985A (zh) 半導體裝置的形成方法