CN109075208B - 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠 - Google Patents

用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠 Download PDF

Info

Publication number
CN109075208B
CN109075208B CN201780026072.5A CN201780026072A CN109075208B CN 109075208 B CN109075208 B CN 109075208B CN 201780026072 A CN201780026072 A CN 201780026072A CN 109075208 B CN109075208 B CN 109075208B
Authority
CN
China
Prior art keywords
layer
aluminum
substrate
electrode
film stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780026072.5A
Other languages
English (en)
Other versions
CN109075208A (zh
Inventor
芮祥新
赵莱
伊恩·杰里·陈
崔寿永
宇佳·翟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202210424023.XA priority Critical patent/CN114975634A/zh
Publication of CN109075208A publication Critical patent/CN109075208A/zh
Application granted granted Critical
Publication of CN109075208B publication Critical patent/CN109075208B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1237Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a different composition, shape, layout or thickness of the gate insulator in different devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)

Abstract

本公开内容的实施方式总体提供形成可用作具有高介电常数以及高膜品质的电容器层或栅极绝缘层的混合膜堆叠的方法,以供显示应用。在一个实施方式中,一种薄膜晶体管结构包括形成在基板上的栅极电极、源极电极和漏极电极,和形成在基板上的绝缘层,其中所述绝缘层是具有介电层的混合膜堆叠,所述介电层包括设置在于所述栅极电极、所述源极电极和所述漏极电极上方或下方形成的界面层上的含锆材料。

Description

用于显示装置中的包含氧化锆的混合高K介电材料膜堆叠
背景
技术领域
本公开内容的实施方式一般地涉及形成用于显示装置的具有高介电常数的混合膜堆叠(hybrid film stack)。尤其是,本公开内容的实施方式涉及用于形成具有高介电常数的膜层的混合膜堆叠的方法,且通过原子层沉积(ALD)工艺制造具有高膜密度和低膜泄漏的所述膜层以供显示应用。
背景技术
显示装置已广泛地用于广泛范围的电子应用,诸如TV、监视器、移动电话、MP3播放器、电子书阅读器和个人数字助理(PDA)等等。显示装置一般被设计成用于通过向液晶施加电场来产生期望图像,液晶填充两个基板(例如,像素电极和公共电极)之间的间隙并且具有控制介电场的强度的各向异性介电常数。通过调整传输通过基板的光量,可有效地控制光和图像强度、品质和功耗。
各种不同的显示装置,诸如有源矩阵液晶显示器(AMLCD)或有源矩阵有机发光二极管(AMOLED)可以用作显示器的光源。在显示装置的制造中,具有高电子迁移率、低漏电流和高击穿电压的电子器件将会允许更多的像素区域用于光传输和电路集成,从而产生更亮的显示、更高的总电效率、更快的响应时间和更高分辨率的显示器。在器件中形成的材料层(诸如具有杂质或低膜密度的介电层)的低膜品质通常造成器件电性能不良和器件使用寿命短。因此,用于在TFT和OLED器件内形成和集成膜层的稳定且可靠的方法在以下方面变得至关重要:提供在制造具有较低阈值电压偏移的电子器件并且改善电子器件的总体性能时使用的具有低膜泄漏和高击穿电压的器件结构。
特别是,金属电极层和附近的绝缘材料之间的界面管理变得至关重要,因为金属电极层和附近的绝缘材料之间的界面的不适当的材料选择可能会不利地造成不期望的元素扩散到相邻材料中,这最终地可能引起电流短路、漏电或装置故障。此外,具有不同、更高介电常数的绝缘材料通常提供不同的电性能,诸如在器件结构中提供不同的电容。绝缘材料的材料选择不仅影响器件的电性能,绝缘材料的材料与电极的不相容性也可能会造成膜结构剥离、不良的界面粘附性或界面材料扩散,这最终地可能引起装置故障和低产品产量。
在一些器件中,通常利用并形成电容器,例如放置在电极之间的介电层,以在显示装置操作时存储电荷。所形成的电容器需要具有高电容以用于显示装置。可以通过改变在电极之间形成的介电层的介电材料和尺寸和/或介电层的厚度来调整电容。例如,当用具有较高的介电常数的材料代替介电层时,电容器的电容也将增大。随着显示装置的分辨率要求越来越有挑战性,例如,显示分辨率大于800ppi,在显示装置中仅留下有限区域以允许在其中形成电容器来提高电性能。因此,将形成在显示装置中的电容器保持在具有相对小的面积的受限位置变得至关重要。
因此,需要一种用于形成具有所期望的膜品质和低泄漏的具有高介电常数的介电层的改良方法,以用于制造产生改善的装置电性能的显示装置。
发明内容
本公开内容的实施方式一般地提供形成具有高介电常数以及高膜品质的混合膜堆叠的方法,所述混合膜堆叠可用作电容器层或栅极绝缘层以供显示应用。在一个实施方式中,一种薄膜晶体管结构包括形成在基板上的栅极电极、源极电极和漏极电极,和形成在基板上的绝缘层,其中所述绝缘层是具有介电层的混合膜堆叠,所述介电层包括设置在于所述栅极电极、所述源极电极和所述漏极电极上方或下方形成的界面层上的含锆材料。
在另一个实施方式中,一种形成用于显示装置的混合膜堆叠的方法包括:在基板上形成混合膜堆叠的界面层;和通过ALD工艺在所述界面层上形成所述混合膜堆叠的介电层,其中所述介电层包括含锆材料,其中所述混合膜堆叠用作显示装置中的电容器层或绝缘层。
在又一个实施方式中,一种用于显示装置的器件结构包括混合膜堆叠,所述混合膜堆叠用作形成在所述显示装置中的两个电极之间的电容器结构,其中所述混合膜堆叠包括界面层和形成在所述界面层上的含Zr层。
附图说明
以上简要概述的本公开内容的上述详述特征可以被获得并被详细理解的方式,以及本公开内容的更特定描述可以通过参照实施方式来达成,实施方式绘示在随附图式中。
图1描绘根据本公开内容的一个实施方式的可用于沉积介电层的处理腔室的截面图;
图2描绘根据本公开内容的一个实施方式的可用于沉积介电层的处理腔室的截面图;
图3是包括本文所述的处理腔室的多腔室基板处理系统的示意图;
图4描绘在基板上形成具有高电容的混合膜堆叠的方法的一个实施方式的工艺流程图;
图5A至图5E是在其中形成有图4的具有高电容的混合膜堆叠的一个示例的截面图;
图6A至图6B是其中形成有图4的具有高电容的混合膜堆叠的显示装置的不同示例的横截面图;
图7是形成在显示装置结构中的电容器结构的截面图,该显示装置结构中形成有图4的具有高电容的混合膜堆叠;和
图8是其中形成有图4的具有高电容的混合膜堆叠的显示装置结构的一个示例的截面图。
为了便于理解,已经尽可能地使用相同的附图标号标示各图共通的相同元件。考虑到,一个实施方式的元件和特征在没有进一步地描述下可有益地并入在其它实施方式中。
然而,应当注意,随附图式仅绘示本公开内容的示例性实施方式,因而不应视为对本公开内容的范围的限制,因为本公开内容可允许其它等同有效的实施方式。
具体实施方式
本公开内容的实施方式一般地提供形成具有增强的电性能(诸如高电容和低泄漏)且具有大于9的高介电常数的混合膜堆叠以用于显示装置的方法。这样的具有高介电常数的介电层可以被形成为电容器、栅极绝缘层或显示装置中的任何合适的绝缘材料。具有高介电常数的混合膜堆叠可以通过化学气相沉积(例如,PECVD或MOCVD)工艺和原子层沉积(ALD)工艺(或等离子体增强ALD(PE-ALD))的组合形成,这种组合可以提供具有低缺陷密度、低杂质、低膜泄漏和高介电常数的膜堆叠。具有高介电常数的混合膜堆叠可以用于TFT器件或OLED器件中的任何绝缘结构和/或电容器结构中。在一个示例中,具有高介电常数的混合膜堆叠可以用于任何合适的层,诸如显示装置中的栅极绝缘层、形成在两个电极之间的电容器层、内绝缘层、蚀刻停止层、或界面保护层,以实现电性能增强和改进。
在一些示例中,如本公开内容中所述的含锆材料可以用含铪(Hf)材料更换或替换,所述含铪材料包括氧化铪、掺杂的铪、掺杂的氧化铪或类似物。
图1是化学气相沉积处理腔室100的一个实施方式的示意性横截面图,其中可以沉积介电层,诸如显示装置结构中的绝缘层、形成在两个电极之间的电容器层、栅极绝缘层、蚀刻停止层、钝化层、层间绝缘体、用于电容器的介电层、或钝化层。一个合适的化学气相沉积腔室(诸如等离子体增强CVD(PECVD))可从位于加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.,located in Santa Clara,CA)获得。可以预期,可以利用其它沉积腔室(包括来自其它制造商的那些沉积腔室)来实践本公开内容。
腔室100一般包括壁142、底部104和盖112,它们一起界定工艺容积106。气体分配板110和基板支撑组件130设置在工艺容积106中。工艺容积106通过穿过壁142而形成的阀开口108接取,使得基板102可以被传送进出腔室100。
基板支撑组件130包括基板接收表面132以用于支撑基板102在其上。杆134将基板支撑组件130耦接到升降系统136,升降系统136使基板支撑组件130在基板传送和处理位置之间升高和降低。阴影框架133可任选地在处理时放置在基板102的周边上方以防止在基板102的边缘上发生沉积。升降杆138可移动地穿过基板支撑组件130设置并且适于将基板102与基板接收表面132间隔开。基板支撑组件130还可以包括用于将基板支撑组件130保持在期望温度的加热和/或冷却元件139。基板支撑组件130还可以包括接地条带131以提供围绕基板支撑组件130的周边的RF返回路径。
气体分配板110在其周边通过悬架114耦接到腔室100的盖112或壁142。气体分配板110还可以通过一个或多个中心支撑件116耦接到盖112以帮助防止下垂和/或控制气体分配板110的直度/曲率。可以预期,可以不利用一个或多个中心支撑件116。气体分配板110可以具有不同尺寸的不同构造。在示例性实施方式中,气体分配板110具有四边形的平面形状。气体分配板110具有下游表面150,下游表面150具有形成在其中的多个孔111,多个孔111面向设置在基板支撑组件130上的基板102的上表面118。孔111可以具有不同形状、数量、密度、尺寸和在整个气体分配板110上的不同分布。在一个实施方式中,孔111的直径可以被选择为在约0.01英寸与约1英寸之间。
气源120耦接到盖112,以提供气体通过盖112,并且然后通过形成在气体分配板110中的孔111而到达工艺容积106。真空泵109耦接到腔室100以将工艺容积106中的气体保持在期望压力下。
RF功率源122耦接到盖112和/或气体分配板110以提供在气体分配板110与基板支撑组件130之间形成电场的RF功率,使得等离子体可由存在于气体分配板110与基板支撑组件130之间的气体产生。可以在各种RF频率下施加RF功率。例如,可以在约0.3MHz与约200MHz之间的频率下施加RF功率。在一个实施方式中,在13.56MHz的频率下提供RF功率。
在一个实施方式中,气体分配板110的下游表面150的边缘可以是弯曲的,使得在气体分配板110与基板接收表面132的边缘和拐角之间且因此在气体分配板110与基板102的上表面118之间限定间隔梯度。下游表面150的形状可以被选择为满足特定的工艺要求。例如,下游表面150的形状可以是凸形、平坦、凹形或其它合适的形状的。因此,边缘到拐角的间隔梯度可以用来调节在基板边缘各处的膜性质均匀性,由此校正设置在基板的拐角中的膜性质的不均匀性。另外,还可以控制边缘到中心的间隔,使得可以在基板的边缘与中心之间控制膜性质分布均匀性。在一个实施方式中,可以使用气体分配板110的凹形的弯曲边缘,使得相较于气体分配板110的拐角来说,气体分配板110的边缘的中心部分与基板102的上表面118间隔得更远。在另一个实施方式中,可以使用气体分配板110的凸形的弯曲边缘,使得相较于气体分配板110的边缘来说,气体分配板110的拐角与基板102的上表面118间隔得更远。
远程等离子体源124,诸如电感耦合的远程等离子体源,也可以耦接在气源与气体分配板110之间。在正在处理的基板中,清洁气体可以在远程等离子体源124中被激励以远程地提供用于清洁腔室部件的等离子体。进入工艺容积106的清洁气体可以通过由电源122提供到气体分配板110的RF功率被进一步激发。合适的清洁气体包括但不限于NF3、F2和SF6
在一个实施方式中,可在腔室100中处理的基板102可以具有的表面积是10,000cm2或更大,例如25,000cm2或更大,举例而言约55,000cm2或更大。可以理解,在处理之后,可以切割基板以形成更小的其它器件。
在一个实施方式中,可将加热和/或冷却元件139设定成提供约600摄氏度或更低(例如,在约100摄氏度与约500摄氏度之间,或在约200摄氏度与约500摄氏度之间,诸如约300摄氏度和500摄氏度)的在沉积期间的基板支撑组件温度。
在沉积期间在设置在基板接收表面132上的基板102的上表面118与气体分配板110之间的标称间隔一般可以在400密耳与约1,200密耳之间变化,例如,在400密耳与约800密耳之间,或是获得所期望的沉积结果所需的其它距离。在其中气体分配板110具有凹形的下游表面的一个示例性实施方式中,气体分配板110的边缘的中心部分与基板接收表面132之间的间隔在约400密耳(mil)与约1400密耳之间,并且在气体分配板110的拐角与基板接收表面132之间的间隔在约300密耳与约1200密耳之间。
图2是可用于执行本文所述的沉积的ALD(原子层沉积)腔室200的示意性横截面图。ALD沉积工艺可以用于形成介电层,诸如,如本文所述的显示装置结构中的绝缘层、栅极绝缘层、蚀刻停止层、层间绝缘体、用于电容器的介电层、或钝化层。腔室200一般包括腔室主体202、盖组件204、基板支撑组件206和工艺配件250。盖组件204设置在腔室主体202上,并且基板支撑组件206至少部分地设置在腔室主体202内。腔室主体202包括狭缝阀开口208,狭缝阀开口208形成腔室主体202的侧壁中以提供对处理腔室200的内部的接取。在一些实施方式中,腔室主体202包括与真空系统(例如,真空泵)流体连通的一个或多个孔。孔为腔室200内的气体提供出口。真空系统由工艺控制器控制以保持ALD腔室200内适于ALD工艺的压力。盖组件204可以包括一个或多个差动泵和净化组件220。差动泵和净化组件220用波纹管222安装到盖组件204。波纹管222允许泵和净化组件220相对于盖组件204竖直地移动,同时仍保持密封以防止气体泄漏。当工艺配件250升高到处理位置时,工艺配件250上的自适应(compliant)的第一密封件286和自适应的第二密封件288与差动泵和净化组件220接触。差动泵和净化组件220与真空系统(未示出)连接并保持在低压下。
如图2所示,盖组件204包括RF阴极210,RF阴极210可以在腔室200内和/或在工艺配件250内产生反应物质的等离子体。RF阴极210可以例如通过电加热元件(未示出)加热和例如通过冷却流体的循环冷却。可以使用能够将气体激活成活性物质并保持活性物质中的等离子体的任何功率源。例如,可以使用基于RF或微波(MW)的功率放电技术。激活还可以通过基于热的技术、气体分解技术、高强度光源(例如,UV能量)或暴露于x射线源产生。
基板支撑组件206可以至少部分地设置在腔室主体202内。基板支撑组件206可以包括基板支撑构件或基座230以支撑基板102来在腔室主体内进行处理。基座230可以通过延伸穿过形成在腔室主体202的底表面中的一个或多个开口224的轴224或轴226耦接到基板升降机构(未示出)。基板升降机构可以由波纹管228柔性地(flexibly)密封到腔室主体202以防止轴224四周发生真空泄漏。基板升降机构允许基座230在ALD腔室200内在下部机器人进入位置(如图所示)与处理位置、工艺配件传送位置和基板传送位置之间竖直地移动。在一些实施方式中,基板升降机构在比所述的位置更少的位置之间移动。
在一些实施方式中,可以使用真空吸盘(未示出)、静电吸盘(未示出)或机械夹具(未示出)将基板102固定到基座。基座230的温度可以在ALD腔室200中的处理期间(例如,由工艺控制器)经控制以影响基板102和工艺配件250的温度,从而改善ALD处理的性能。基座230可以通过例如基座230内的电加热元件(未示出)加热。基座230的温度可以由例如是腔室200中的高温计(未示出)确定。
如图2所示,基座230可以包括穿过基座230的一个或多个钻孔234以容纳一个或多个升降杆236。每个升降杆236被安装成使得升降杆236可以在钻孔234内自由地滑动。支撑组件206可移动以使得当支撑组件206处于下部位置时,升降杆236的上表面可以位于基座230的基板支撑表面238上方。相反,当支撑组件206处于升高位置时,升降杆236的上表面位于基座230的上部基板支撑表面238下方。当接触腔室主体202时,升降杆236推靠在基板232的下表面上,从而提升基板离开基座230。相反,基座102可以将基板102从升降杆236升起。
在一些实施方式中,基座230包括工艺配件绝缘按钮237,工艺配件绝缘按钮可以包括一个或多个自适应的密封件239。工艺配件绝缘按钮237可以用于在基座230上承载工艺配件250。当基座将工艺配件250提升到处理位置中时,工艺配件绝缘按钮237中的一个或多个自适应的密封件239就被压缩。
图3是适于制造任何合适的显示装置(诸如有机发光二极管(OLED)、薄膜晶体管(TFT)、薄膜封装(TFE)、以及在平坦介质上的太阳能电池制造)的多腔室基板处理系统300的俯视平面图。系统300包括多个处理腔室100、200、340和围绕中心传送腔室315定位的一个或多个装载锁定腔室305、307。处理腔室100、200、340可以配置为完成许多不同的处理步骤以实现例如是大面积基板102(以虚线画出)的平面介质的期望处理。装载锁定腔室305、307配置为将呈四边形形式的基板从多腔室基板处理系统300外部的周围环境传送到传送腔室315内的真空环境。
定位在传送腔室315内的是具有终端受动器330的传送机器人325。终端受动器330配置为独立于传送机器人325被支撑和移动以传送基板102。终端受动器330包括腕部335和适于支撑基板102的多个指状物342。在一个实施方式中,传送机器人325被配置为绕竖直轴线旋转和/或在竖直方向(Z方向)上被线性地驱动,而终端受动器330配置为独立于和相对于传送机器人325在水平方向(X和/或Y方向)上线性地移动。例如,传送机器人325将终端受动器330(Z方向)升高和降低到传送腔室315内的各个高度以使终端受动器330与处理腔室100、200、340和装载锁定腔室305、307中的开口对准。当传送机器人325处于合适的高度时,终端受动器330水平地(X或Y方向)延伸以将基板102传送和/或定位到处理腔室100、200、340和装载锁定腔室305、307中的任一个中和从中取出。另外,传送机器人325可以旋转以将终端受动器330与其它处理腔室100、200、340和载荷锁定腔室305、307对准。
在一个示例中,结合在多腔室基板处理系统300中的处理腔室100、200、340可以是图1中描绘的化学气相沉积(PECVD或MOCVD)腔室100和图2中描绘的原子层沉积(ALD)(或等离子体增强ALD(PE-ALD)腔室)腔室200和/或其它合适的腔室,诸如HDP-CVD、MOCVD、PECVD、ALD、PE-ALD热CVD、热退火、PVD、表面处理、电子束(e束)处理、等离子体处理、蚀刻腔室、离子注入腔室、表面清洁腔室、计量腔室、旋涂腔室、聚合物旋涂沉积腔室、阴影框架存储腔室或根据需要的任何合适的腔室。在多腔室基板处理系统300中描绘的一个示例中,系统300包括化学气相沉积(诸如PECVD)腔室100、原子层沉积(ALD)腔室200(或等离子体增强ALD(PE-ALD)腔室)和根据需要的其它合适的腔室340。通过如此布置,通过ALD工艺和/或PECVD工艺形成的介电层也可以被整合以在单个腔室中执行而不破坏真空,从而保持基板的清洁度而没有不期望的污染物和来自环境的残留物。
装载锁定腔室305的内部的一部分已经被移除以暴露基板支撑件或基座350,基板支撑件或基座350适于在处理期间接收和支撑大面积基板102。基座350包括多个升降杆355,多个升降杆355可相对于基座350的上表面移动,以促成大面积基板102的传送。在大面积基板102的传送工艺的一个示例中,升降杆355延伸远离基座350的上表面或延伸到其上方。终端受动器330在X方向上进入处理腔室100、200、340或载荷锁定腔室305、307而延伸到延伸的升降杆上方。传送机器人325在Z方向上降低终端受动器330,直到大面积基板102被升降杆355支撑。升降杆355被间隔开以允许终端受动器330的指状物342通过升降杆355而没有干扰。终端受动器330可以进一步降低以确保大面积基板102与指状物342之间的间隙,并且终端受动器330在X方向上缩回到传送腔室315中。升降杆355可以缩回到基本上与基座350的上表面齐平的位置,以致使大面积基板102与基座350接触,使得基座350支撑大面积基板102。在传送腔室315与装载锁定腔室305、307(或处理腔室或100、200、340)之间的狭缝阀或门360可以被密封,并且处理可以在载荷锁定腔室305、307(或处理腔室100、200、340)中开始。为了在处理之后移除大面积基板102,可以颠倒传送工艺,其中升降杆355升高大面积基板102并且终端受动器330可以取回大面积基板102。在一个示例中,基板102可以通过第一载荷锁定腔室305传送到多腔室基板处理系统300中。在基板102被定向并对准到期望位置之后,然后使基板102通过传送腔室315而传送到处理腔室100、200、340中的任一个以根据需要执行任何合适的工艺来在基板102上形成器件结构。在处理腔室100、200、340中完成工艺之后,然后根据需要将基板102从多腔室基板处理系统300移出并从第二装载锁定腔室307中传送出多腔室基板处理系统300。
基板处理系统300中的环境与环境压力(即,在系统300外部的压力)隔离,并且由一个或多个真空泵(未示出)保持在负压下。在处理期间,处理腔室100、200、340被泵送到预定压力,预定压力配置为促成薄膜沉积和其它工艺。同样地,传送腔室315在大面积基板的传送期间保持在降压下,以促成处理腔室100、200、340与传送腔室315之间的最小压力梯度。在一个实施方式中,传送腔室315中的压力保持在低于环境压力的压力下。例如,传送腔室中的压力可以是约7托至约10托,而处理腔室100、200、340中的压力可以更低。在一个实施方式中,传送腔室315内的保持压力可以基本上等于处理腔室100、200、340和/或装载锁定腔室305和307内的压力,以促成系统300中的基本上均等的压力。
在传送腔室315和处理腔室100、200、340中传送大面积基板102期间,大面积基板102的正确对准对于防止大面积基板102的碰撞和/或损坏至关重要。另外,系统300的内部必须保持清洁并且没有碎屑,诸如基板碎片、破损设备和其它微粒污染物。虽然一些常规系统包括允许视线观察到各个腔室100、200、340的内部的视窗,但是窗可能不允许对大面积基板和各个腔室100、200、340的内部的全面观察和/或精确检查。另外,常规系统未配置为当大面积基板在系统中时观察大面积基板102并提供处理结果的度量。
传送机器人325包括根据需要设置在传送机器人325上的一个或多个光学图像传感器365和370。一个或多个光学图像传感器365、370可以是光学扫描仪、成像器或相机,诸如电荷耦合器件(CCD)、互补金属氧化物半导体(CMOS)器件、摄像机和类似物。在一个实施方式中,光学图像传感器365、370中的一个或多个安装在传送机器人325上的适当位置以观察大面积基板102、指状物342和在传感器365、370的观察视线中的任何对象。在该实施方式中,图像传感器365、370可以被定向为在传送机器人325静止或在系统300中移动时基本上在X和Y方向以及Z方向上观察对象。图像传感器365、370可以包括广角光学元件,诸如鱼眼镜头,以实现更大的视野。
图4描绘用于形成适于诸如薄膜晶体管器件或OLED器件的显示装置的混合膜堆叠的工艺400的一个实施方式的流程图。这种混合膜堆叠可以形成为设置在两个金属层之间的电容器层以形成电容器,或形成为显示装置中的绝缘层。用于显示装置的绝缘层的合适示例包括栅极绝缘层、设置在两个金属层之间的电容器层、界面层、用于形成电容器的介电层、蚀刻停止层、或钝化层(在需要绝缘材料的情况下)。介电层可以通过可在如图1所述的处理腔室100中实践的等离子体增强化学气相沉积(PECVD)工艺形成,和/或绝缘层可以通过可在如图2所述的处理腔室200中实践的原子层沉积(ALD)工艺形成,或者介电层或绝缘层可在其它合适的处理腔室中或在以上项的组合中形成。
工艺400通过以下方式在操作402处开始:在处理腔室中提供基板102,处理腔室例如图2中所示的处理腔室100(PECVD腔室)或处理腔室200(ALD腔室或PEALD腔室),以形成绝缘层或介电层。基板102可以具有先前在其上形成的膜、结构或层的不同组合,以促成在基板102上形成不同的器件结构或不同的膜堆叠。基板102可以是玻璃基板、塑料基板、聚合物基板、金属基板、单切基板、卷对卷基板或适于在其上形成薄膜晶体管的其它合适的透明基板中的任一种。
在操作404处,然后在基板102上执行沉积工艺以在基板102上形成混合膜堆叠510(图5C中描绘)的第一层504。在一个示例中,可以将所得的混合膜堆叠510形成为显示装置中的栅极绝缘层或电容器层。在这种示例中,显示装置中的栅极绝缘层和/或电容器层的所得的混合膜堆叠510可以呈多个层的形式,之后将参考图5B和5C对其进行描述。
通过等离子体增强化学气相沉积(PECVD)工艺在基板102的表面501上形成第一层504。第一层504是介电层,诸如含硅层。含硅层的合适的示例可以包括通过CVD工艺形成的氧化硅、氧氮化硅、碳化硅、碳氧化硅、或氮化硅。由于硅材料广泛用于显示装置,这里提到的第一层504可以是在第二层508(图5B中描绘)形成在其上之前与显示装置中预形成的基板一起出现的任何现有材料。在一个示例中,第一层504是氮化硅或氧化硅材料。
在基板102已经包括在其上预制造的含硅材料的一个示例中,可以去除第一层504,并且用于形成混合膜堆叠510的膜堆叠400可以直接地从操作406开始以形成界面层506。
在操作406处,在第一层504上形成界面层506,如图5B所示。由于在界面层506上形成的第二层508(图5C中所示)是包括某些金属元素的具有高介电常数的介电层,因此来自第二层508的元素(例如,金属元素或氧元素)与来自第一层504的硅元素的直接接触通常造成界面扩散,这可能会造成在界面处形成不期望的扩散层。从第二层508扩散并渗透到第一层504中的元素(例如,金属元素或氧元素)在界面处形成薄的低介电常数膜(或其它类型的材料),从而劣化整个混合膜堆叠510的膜品质,尤其降低混合膜堆叠510的介电常数。因此,通过在第一层504与第二层508之间形成界面层506,然后第二层508与界面层506介接,而不与第一层504的直接接触,界面层506对第一层504和第二层508两者的性质更惰性,使得可以获得良好的界面控制。
在其中由对第一层504不产生界面扩散层的材料制造第二层508的实施方式中,可以去除这里描述的界面层506。在这种实施方式中,第二层508可以直接地形成并与第一层504直接接触。
在一个示例中,界面层506是通过等离子体增强化学沉积工艺(诸如图1中描绘的处理腔室100),或图2中描绘的原子层沉积处理腔室200(或PE-ALD处理腔室))形成的金属介电层。在一个示例中,界面层506可以是含铝材料,诸如氧化铝(Al2O3)、氮化铝(AlN)层氧化铝(Al2O3)、氮化铝(AlN)、氧化铝钛(AlTiO)、氧化铝锆(AlZrO)或AlON,或含氧化钇的材料,诸如Y2O3。在一个特定示例中,界面层506是氧化铝铝(Al2O3)或氮化铝(AlN)层。
ALD工艺通过缓慢沉积工艺实现,其中第一单层原子被吸附并粘附在形成在基板表面上的第二单层原子上。每个层中的原子的强粘附性和原子层向基板表面上的吸附性在膜结构中提供紧凑且牢固的键合结构(bonding structure),从而致使膜性质为高膜密度(相较化学气相沉积工艺来说),这可以有效地防止原子或元素从中渗透穿过。此外,界面层506的缓慢ALD沉积速率还允许来自界面层506的原子逐渐地填充可能从基板表面(例如,在图5B的示例中,第一层504)出现的针孔、孔、凹坑或缺陷,从而帮助从基板表面修复膜缺陷。相比之下,常规的等离子体增强化学气相沉积工艺(PECVD)通常提供具有高产量的相对快速的沉积工艺,但是致使膜层的膜层为相对多孔的膜结构。界面层506用作阻挡/阻止层,以防止来自第一层504和第二层508的元素从中渗透或扩散通过而不期望地更改器件性能。在其中期望制造周期中的高产量的示例中,可替代地利用等离子体辅助原子层沉积(PE-ALD)工艺来提供沉积工艺的相对更高的沉积速率(相较热ALD来说),同时仍然保持所期望的膜密度。在其中期望制造周期中的甚至更高的产量的一些实施方式中,界面层506可以配置为通过CVD工艺形成,而随后在其上形成的具有大于15的高介电常数的第二层508则通过ALD工艺形成,以确保整个混合膜堆叠510实现特定膜性质,例如,膜介电常数高和低泄漏。
在一个示例中,在ALD工艺中用于形成为Al2O3层的界面层506的前驱物包括至少含金属前驱物和反应气体,含金属前驱物例如含铝气体。含铝气体的合适的示例可以具有式RxAlyR'zR″v或RxAly(OR')z,其中R、R'和R”为H、CH3、C2H5、C3H7、CO、NCO、烷基、或芳基,并且x、y、z和v是范围在1与8之间的整数。在另一个实施方案中,含铝化合物可以具有式(NRR')3,其中R和R'可以是H、CH3、C2H5、C3H7、CO、NCO、烷基、或芳基,并且R'可以是H、CH3、C2H5、C3H7、CO、NCO、烷基、或芳基。合适的含铝化合物的示例是乙氧基二乙基铝(Et2AlOEt)、三乙基三仲丁氧基二铝(Et3Al2OBu3或EBDA)、三甲基铝(TMA)、乙氧基三甲基二铝、异丙氧基二甲基铝(dimethyl aluminum isopropoxide)、乙氧基二仲丁氧基铝(disecbutoxy aluminumethoxide)、(OR)2AlR',其中R、R'和R″可以是甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基、和具有较多碳原子数的其它烷基等。
可供应以形成含铝材料气体的反应气体包括含氧气体,诸如氧(O2)、臭氧(O3)、氮(N2)、N2O、CO2、NO、CO、CO2以及其它气体。
在一个示例中,界面层可以具有在约
Figure GDA0001842797440000131
与约
Figure GDA0001842797440000132
之间的厚度,例如约
Figure GDA0001842797440000133
在操作408处,在第一层504上形成界面层506之后,然后在界面层506上形成第二层508。第二层508通过包含含Zr材料的原子层沉积工艺形成。在一个示例中,第二层508是含Zr材料,例如,呈立方体或四方形结构形成的氧化锆(ZrO2),从而为第二层508提供大于25的高介电常数。由原子层沉积工艺形成的ZrO2层通常主要提供在晶体结构方面呈立方相或四方相的所得的ZrO2,从而提供至少大于25的介电常数,例如,在约25与约50之间的介电常数。通过原子层沉积(ALD)工艺形成为混合膜堆叠510的第二层508的含Zr层可以提供良好的膜性质,诸如高膜密度、低缺陷密度和类似性质,以及所期望的高介电常数。
在一个示例中,利用前驱物混合物形成第二层508包括在具有或不具有反应性气态物质的情况下交替地或顺序地供应含锆前驱物以形成铝掺杂的含锆(Zr)层。合适的含锆合适包括Zr-有机金属前驱物,诸如四(乙基甲基氨基)锆(TEMAZ)、三(二甲基氨基)环戊二烯基锆(C5H5)Zr[N(CH3)2]3或类似物。在本文利用的一个特定示例中,含锆前驱物是四(乙基甲基氨基)锆(TEMAZ)。反应性气态物质可以是含氧气体,诸如H2O、O2、O3、H2O2、CO2、NO2、N2O和类似气体。在一个示例中,含氧气体是O2或O3
在一个示例中,所形成的混合膜堆叠510的第二层508可以具有大于25的介电常数,例如在25与50之间的介电常数。在一个示例中,混合膜堆叠510的第二层508具有在约
Figure GDA0001842797440000141
与约
Figure GDA0001842797440000142
之间的厚度。
在一些示例中,在第二层508中形成的含Zr层可以具有掺杂在其中的掺杂剂以将电流泄漏保持在所期望的低水平下。随着材料的介电常数增大,材料的带隙就会减小,从而引起器件中的高漏电流。因此,先进技术期望介电层的介电常数更高(例如,大于25),从而提供具有更高的电容的电容器。相反,介电层的较高的介电常数(例如,大于25)也通常会造成高的膜泄漏,这最终地可能引起器件故障。因此,通过将诸如铝掺杂剂的掺杂剂提供到第二层508中的含Zr层中,第二层508中的含Zr层的晶体结构可转变为非晶态,由此降低某个预定水平的介电常数,从而将电流泄漏保持在所期望的低水平下。例如,通过向ZrO2结构中提供铝掺杂剂以形成第二层508可以致使所得的ZrO2结构为非晶态,由此将非晶铝掺杂的ZrO2的介电常数保持在低于25但仍高于15的期望范围内,诸如在约15与25之间。或者,可以形成包括非晶和结晶结构的第二层508,诸如具有掺杂剂的ZrO2层的一部分和不具有掺杂剂的另一部分(例如,混合键合结构),从而获得具有所期望的介电常数水平、以及所期望的低泄漏水平和良好的界面控制的所得的混合膜堆叠510。
在其中掺杂的ZrO2层用于第二层508的示例中,掺杂的ZrO2层介电常数大于15,例如在15与25之间,并且膜泄漏约1E-8A/cm2或更低。ZrO2结构中的铝掺杂剂可以具有约6atm.%与约20atm.%之间的掺杂浓度。
将注意,混合膜叠堆510的第一层504、界面层506和第二层508可以全部形成在相同的处理系统中,诸如图3中描绘的处理系统300,而不破坏真空。例如,第一层504可以形成在集成在处理系统300中的处理100中。界面层506可以形成在整合在处理系统300中的处理腔室100或处理腔室200中,而第二层508可以根据需要形成在全都整合在处理系统300中的处理腔室200中,以节省制造循环时间以及根据需要保持低基板污染。
将注意,操作404、406、408可以根据需要执行多次,如环路410所指示,以循环地形成第一层504、界面层506和第二层508,直到形成混合膜堆叠510的期望数量的第一层504、界面层506和第二层508。每个操作中的工艺参数可以在循环这些操作时改变,以微调在基板上形成的膜性质。在图5D中描绘的示例中,形成最上面的第一层504'和最上面的界面层506'以及最上面的第二层508'以达到整个混合膜叠堆510的期望厚度。
通过如图5D所示形成混合膜堆叠510的多个层,基板102根据需要在处理腔室(PECVD腔室)100与处理腔室200(ALD腔室)之间传送多次以达到期望厚度或混合膜堆叠510的期望数量的第一层504、界面层506和第二层508。如上讨论,处理腔室(PECVD腔室)100和处理腔室200(ALD腔室)可以整合在单个处理系统中,诸如图3中的处理系统300,基板102可以在处理系统300中的处理腔室(PECVD腔室)100与处理腔室200(ALD腔室)之间传送进出,而不破坏真空,从而保持生产效率和制造产量。
在一些实施方式中,混合膜堆叠510可以从形成在基板102上的界面层506和第二层508开始,接着的是形成在第二层508上的第一层504,如图5E所示。类似地,界面层506、第二层508和第一层504可以形成多次(例如,在ALD处理腔室200与PECVD处理腔室100之间连续地循环基板102)以顺序地形成界面层506、第二层508和第一层504。在不存在界面层506的实施方式中,第二层508可以直接地形成在基板102上并与形成在基板102上的第一层直接地接触。
将注意,如环路410所示,操作404、406、408之间的沉积循环可以根据需要在任何操作中恢复或停止。如环路410所示,操作404、406、408之间的沉积循环也可以根据需要跳过一些操作。例如,如上讨论,在不需要界面层506的实施方式中,可以在操作404和408之间执行沉积循环,并且可以根据需要在操作404或408处终止或停止最后操作。或者,在完成操作404和/或406和/或408的第一沉积循环之后,可以根据第一层504、界面层506和第二层508中的任一层需要在操作404、406或408中的任何操作处开始第二循环周期。
虽然环路410指示可连续地执行操作,但是将注意,操作404、406、408一般可以循环一次或两次,以防止对基板102的过热或低制造产量。例如,操作404、406、408可以循环一次或两次,以形成混合膜堆叠510,混合膜堆叠510包括第二层508形成在第一层504上(界面层506不存在)或反之亦然的两层,或包括三层具有夹在两个第二层508之间的第一层504的三层,或具有夹在两个第一层504之间的第二层508(不存在界面层506)的三层。界面层506在存在时可以添加在第一层504和第二层508下方、上方和之间的任何界面处。
图6A描绘了TFT器件结构650的示例,TFT器件结构650利用TFT器件结构650中的混合膜堆叠510来形成电容器,或栅极绝缘层,或其它合适的绝缘层。图6A中描绘示例性TFT器件结构650的形成在基板102上的部分。TFT器件结构650包括用于OLED器件的低温多晶硅(LTPS)TFT。LTPS TFT器件650是MOS器件,MOS器件用在具有或没有任选的绝缘层604设置在其上的光学透明的基板102上形成的源极区609a、沟道区608和漏极区609b构建。源极区609a、沟道区608和漏极区609b一般由初始沉积的非晶硅(a-Si)层形成,a-Si层通常稍后进行热处理或激光处理以形成多晶硅层。源极区609a、沟道区608和漏极区609b可以通过使光学透明的基板102上的区域图案化并对所沉积的初始a-Si层进行离子掺杂来形成,然后对其进行热处理或激光处理(例如,准分子激光器退火工艺)以形成多晶硅层。然后可以在沉积的多晶硅层的顶部上沉积栅极绝缘层605(例如,通过图4的工艺400形成的具有高介电常数的绝缘层或混合膜堆叠510)以将栅极电极614与沟道区608、源极区609a和漏极区609b隔离。栅极电极614形成在栅极绝缘层605的顶部上。栅极绝缘层605通常也被称为栅极氧化层。然后通过绝缘材料制作电容器层612(例如,也可以是通过图4的工艺400形成的绝缘层或混合膜堆叠510)和器件连接部以允许控制TFT器件。如图6A中的圈所指示,TFT器件结构650中的栅极绝缘层605和电容器层612也可以由包括第一层504和第二层508以及在它们之间形成的界面层506的具有高介电常数以及低膜泄漏的混合膜堆叠510制造。在其中存在任选的绝缘层604的实施方式中,可以去除包括作为任选的绝缘层604含硅层的第一层504,并且第一层504可以都由硅材料形成。
为了便于描述和解释关于可在用于在器件结构650中形成栅极绝缘层605或电容器层612或两者的器件结构650中的一些位置中利用混合膜堆叠510的状况,仅部分地形成图6A的TFT器件结构650。
在形成电容器层612之后,可以在电容器层612上形成层间绝缘体606。层间绝缘体606可以是任何合适的介电层,诸如氧化硅或氮化硅材料。层间绝缘体606可以是形成在电容器层612上的单个层的形式。或者,层间绝缘体606可以是根据不同器件要求需要的多个层的形式。在图6A中所示的示例中,层间绝缘体606包括形成在氧化硅层的第二层603上的氮化硅的第一介电层602。随后,在层间绝缘体606、电容器层612和栅极绝缘层605中沉积、形成和图案化源极-漏极金属电极层610a、610b,源极-漏极金属电极层610a、610b电连接到源极区609a和漏极区609b。
在图案化源极-漏极金属电极层610a、610b之后,然后在源极-漏极金属电极层610a、610b上形成平坦化层615。平坦化层615可以由聚酰亚胺、苯并环丁烯系树脂、旋涂玻璃(SOG)或丙烯酸酯制成。之后图案化平坦化层615以允许像素电极616形成在平坦化层615上并填充在平坦化层615中,从而电连接到源极-漏极金属电极层610a、610b。
在图6A中所示的该示例中,电容器层612形成在栅极电极614上,以延伸到形成在上部电极611与下部电极609之间的电容器结构613(例如,MIM(金属-绝缘-金属)结构)。上部电极611可以横向地耦接到源极-漏极金属电极层610a、610b,而下部电极609可以横向地耦接到栅极电极614,或器件结构650中的其它合适的电极。形成在器件结构650中的电容器结构613可以是可提高显示装置电性能的存储电容器。将注意,电容器结构613可以根据不同器件性能要求需要形成在器件结构650中的任何合适的位置。
在图6B中描绘的另一个示例中,类似于图6A中描绘的电容器结构613的电容器结构622可形成有不同尺寸和/或轮廓的混合膜堆叠510,以切分为形成在上部电极611与下部电极609之间的电容器层620。与从栅极电极614上方的区域延伸到上部电极611与下部电极609之间的区域的图6A中所示的电容器层612不同,图6B中描绘的电容器层620基本上形成在上部电极611与下部电极609之间的区域中。因此,可以在围绕电容器结构622的栅极绝缘层605上形成包括氧化硅的规则层间绝缘体624。形成为电容器结构622中的电容器层620的混合膜堆叠510可以根据需要具有与下部栅极绝缘层605接触的底表面。层间绝缘体624可以是单个层的形式,如图6B描绘,或根据需要是多个层的形式。
将注意,根据需要,通过工艺400形成的混合膜堆叠510可以用于形成电容器层620、栅极绝缘层605(如图6B的圆圈所指示)、钝化层、或在包括用于LCD或OLED TFT的LTPSTFT的TFT器件结构650中需要绝缘材料的任何其它合适的层。
将注意,用于形成电容器结构622、613的上部电极611和下部电极609根据需要也可以是像素电极和/或公共电极。
图7描绘可在显示装置中使用的基板102上形成的简单电容器结构702(例如,MIM(金属-绝缘-金属)结构)。类似于上部电极611和下部电极609(或TFT器件结构中的像素电极和公共电极),电容器结构702包括顶部电极704和底部电极708,具有作为电容器层设置在这两者之间的混合膜堆叠510,从而形成电容器结构702。电容器层包括高k材料,高k材料包括具有或不具有铝掺杂剂的ZrO2和作为界面层的氧化铝层。用作电容器结构中的电容器层的混合膜堆叠510根据需要也可以是任何数量的层的形式。
图8描绘TFT器件结构850的又一个示例。类似于上述结构,TFT器件结构850包括设置在栅极电极614上的规则层间绝缘体820。可以在层间绝缘体820上形成钝化层822。示出源极和漏极区域902的另一个部分(电连接到源极和漏极区域609a、609b)在任选的绝缘层604上。源极-漏极金属电极层810的另一个部分(电连接到源极-漏极金属电极层610a、610b)设置在源极和漏极区域902上并且电耦合到源极和漏极区域902。像素电极808可以电连接到源极-漏极金属电极层810、610a、610b。在该特定示例中,栅极绝缘层605的一部分穿过栅极电极614和沟道区域608并且通过栅极电极614与沟道区域608之间,以延伸到在源极和漏极区域902上方的区域。在一个示例中,栅极绝缘层605可以是使用上面参考图4描述的工艺400形成的混合膜堆叠510。在源极和漏极区域802以及栅极绝缘层605上方形成附加电极804,从而在器件结构850中形成电容器结构806。形成在栅极绝缘层605上的附加电极804(现在也用作电容器层)可以电连接到栅极电极614。因此,附加电极804以及源极和漏极区域902连同形成在这两者之间的栅极绝缘层605一起形成器件结构850中的电容器结构806。类似地,栅极绝缘层605现在也用作电容器层,可类似于如上所述的电容器层612,并且根据需要可以是任何层的形式。
将注意,源极-漏极金属电极层610a、610b、810、像素电极808、公共电极、栅极电极614、上部电极611、下部电极609、顶部电极704、底部电极708、附加电极804和器件结构中的任何电极可以是任何合适的金属材料,所述金属材料包括透明导电氧化物层(诸如ITO或类似物)、银纳米墨、碳纳米管(CNT)、银纳米墨和CNT、石墨烯、铝(Al)、钨(W)、铬(Cr)、钽(Ta)、钼(Mo)、铜(Cu)、TiN、MoO2、MoNx、它们的组合或任何合适的材料。
将注意,出于简化的目的,省去钝化层822或平坦化层615上方的结构。然而,在一些示例性器件结构中,可以在钝化层822或平坦化层615上方形成附加的OLED或LCD器件或其它合适的器件,以形成其它合适的柔性移动显示装置,诸如,根据需要具有触摸屏板的LTPS OLED显示装置。
因此,本文所述的方法通过控制材料来有利地改善显示装置结构的电子稳定性、电性能、低泄漏和良好的膜堆叠集成,特别是包括在包括含铝层的界面层上形成的含Zr层的具有高k材料的混合膜堆叠。混合膜堆叠可以通过ALD或PE-ALD和/或PECVD工艺制造,以及栅极绝缘层、电容器层、层间绝缘体、钝化层、显示装置中的绝缘材料的结构连同介电层一起被形成为具有所期望的高电性能的显示装置中的电容器。
虽然前述内容针对本公开内容的实施方式,但是在不脱离本公开内容的基本范围的情况下可设计本公开内容的其它和进一步实施方式,并且本公开内容的范围由随附权利要求书来确定。

Claims (8)

1.一种薄膜晶体管结构,包括:
栅极电极、源极电极和漏极电极,形成在薄膜晶体管中并且在透明基板上;和
含硅绝缘层,形成为与所述透明基板直接接触,所述含硅绝缘层设置在所述栅极电极、所述源极电极和所述漏极电极下方;
绝缘层,形成为与所述含硅绝缘层直接接触,其中所述绝缘层设置在所述栅极电极下方;和
电容器层,形成为与所述栅极电极和所述绝缘层直接接触,其中所述电容器层包括混合膜堆叠,所述混合膜堆叠具有设置在第一层与第二层之间的界面层,所述第一层是含硅层,所述第二层包含非晶铝掺杂的含锆材料,其中所述第二层具有在250 Å与900 Å之间的厚度,其中所述界面层是氧化铝(Al2O3)、氮化铝(AlN)、氧化铝钛(AlTiO)、氧化铝锆(AlZrO)、氮氧化铝(AlON)、或氧化钇(Y2O3)中的至少一种。
2.如权利要求1所述的结构,其中所述第二层包括大于15且小于25的介电常数。
3.如权利要求1所述的结构,其中所述绝缘层包括所述混合膜堆叠。
4.如权利要求1所述的结构,其中所述第一层是氧化硅或氮化硅。
5.如权利要求1所述的结构,其中所述第二层是铝掺杂的ZrO2层。
6.如权利要求1所述的结构,其中所述非晶铝掺杂的含锆材料具有6 atm.%至20 atm.%的铝浓度。
7.如权利要求1所述的结构,其中所述第二层或所述界面层通过ALD工艺或PE-ALD工艺形成。
8.一种用于显示装置的器件结构,包括:
电容器结构(613),包括下部电极(609)、上部电极(611)和形成在所述下部电极(609)与所述上部电极(611)之间的混合膜堆叠(510),其中所述下部电极(609)与栅极绝缘层(605)直接接触,所述栅极绝缘层(605)与绝缘层(604)直接接触,其中所述绝缘层(604)形成为与透明基板(102)直接接触,其中所述混合膜堆叠(510)包括界面层(506),所述界面层(506)设置在铝掺杂的含Zr层(508)上并且与所述铝掺杂的含Zr层(508)直接接触,所述铝掺杂的含Zr层(508)具有15与25之间的介电常数,其中所述铝掺杂的含Zr层(508)具有在250 Å与900 Å之间的厚度,其中所述界面层(506)是氧化铝(Al2O3)、氮化铝(AlN)、氧化铝钛(AlTiO)、氧化铝锆(AlZrO)、氮氧化铝(AlON)、或氧化钇(Y2O3)中的至少一种;并且
其中含硅层(504)直接形成在所述混合膜堆叠(510)中的所述界面层(506)上。
CN201780026072.5A 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠 Active CN109075208B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210424023.XA CN114975634A (zh) 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662364140P 2016-07-19 2016-07-19
US62/364,140 2016-07-19
PCT/US2017/040718 WO2018017325A1 (en) 2016-07-19 2017-07-05 Hybrid high-k dielectric material film stacks comprising zirconium oxide utilized in display devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210424023.XA Division CN114975634A (zh) 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠

Publications (2)

Publication Number Publication Date
CN109075208A CN109075208A (zh) 2018-12-21
CN109075208B true CN109075208B (zh) 2022-05-03

Family

ID=60988132

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780026072.5A Active CN109075208B (zh) 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠
CN202210424023.XA Pending CN114975634A (zh) 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210424023.XA Pending CN114975634A (zh) 2016-07-19 2017-07-05 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠

Country Status (5)

Country Link
US (4) US20180026055A1 (zh)
KR (4) KR20180135981A (zh)
CN (2) CN109075208B (zh)
TW (1) TW201820638A (zh)
WO (1) WO2018017325A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11049887B2 (en) 2017-11-10 2021-06-29 Applied Materials, Inc. Layer stack for display applications
US11069526B2 (en) * 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
KR102589300B1 (ko) * 2018-09-13 2023-10-13 삼성전자주식회사 게이트 스페이서 구조체를 포함하는 집적 회로 소자
CN109585367B (zh) * 2018-12-11 2020-09-25 合肥鑫晟光电科技有限公司 显示装置、显示面板、阵列基板及其制造方法
DE102021202186A1 (de) 2021-03-08 2022-09-08 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zur Ermittlung eines Straßenzustands bei einem Kraftfahrzeug

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11148078A (ja) 1997-11-18 1999-06-02 Sanyo Electric Co Ltd アクティブマトリクス型液晶表示装置
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR20020064624A (ko) * 2001-02-02 2002-08-09 삼성전자 주식회사 반도체소자의 유전체막 및 그 제조방법
US7588989B2 (en) 2001-02-02 2009-09-15 Samsung Electronic Co., Ltd. Dielectric multilayer structures of microelectronic devices and methods for fabricating the same
JP2002299632A (ja) 2001-03-30 2002-10-11 Sanyo Electric Co Ltd 半導体装置及びアクティブマトリクス型表示装置
KR100579194B1 (ko) 2004-05-28 2006-05-11 삼성에스디아이 주식회사 유기 전계 발광 표시 소자의 제조방법
KR101133753B1 (ko) 2004-07-26 2012-04-09 삼성전자주식회사 감지 소자를 내장한 액정 표시 장치
KR100700642B1 (ko) 2004-12-13 2007-03-27 삼성에스디아이 주식회사 유기전계발광표시소자 및 그 제조방법
KR100703966B1 (ko) 2005-01-19 2007-04-05 삼성전자주식회사 미세 전자 소자의 다층 유전막 및 그 제조 방법
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
KR100843143B1 (ko) * 2006-12-08 2008-07-02 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP2008203761A (ja) 2007-02-22 2008-09-04 Hitachi Displays Ltd 表示装置
WO2008108128A1 (ja) * 2007-03-08 2008-09-12 Nec Corporation 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
KR100864886B1 (ko) * 2007-03-28 2008-10-22 삼성에스디아이 주식회사 평판 표시장치 및 그 제조방법
TWI337754B (en) * 2007-04-20 2011-02-21 Au Optronics Corp Semiconductor structure of display device and method for fabricating the same
JP5178152B2 (ja) * 2007-11-05 2013-04-10 株式会社東芝 相補型半導体装置及びその製造方法
CN101452162A (zh) 2007-12-07 2009-06-10 上海广电Nec液晶显示器有限公司 液晶显示面板中的阵列基板及其制造方法
KR100964227B1 (ko) * 2008-05-06 2010-06-17 삼성모바일디스플레이주식회사 평판 표시 장치용 박막 트랜지스터 어레이 기판, 이를포함하는 유기 발광 표시 장치, 및 이들의 제조 방법
US20090278120A1 (en) * 2008-05-09 2009-11-12 Korea Institute Of Science And Technology Thin Film Transistor
KR101322267B1 (ko) * 2008-06-12 2013-10-25 엘지디스플레이 주식회사 액정표시장치용 어레이 기판 및 그 제조방법
TWI380106B (en) 2008-08-01 2012-12-21 Chunghwa Picture Tubes Ltd Pixel structure and method for repairing the same
KR101526182B1 (ko) * 2009-02-16 2015-06-05 삼성전자 주식회사 반도체 집적 회로 장치 및 그 제조 방법
WO2010098121A1 (ja) * 2009-02-27 2010-09-02 キヤノンアネルバ株式会社 誘電体ならびに半導体装置の製造方法、プログラム、および、記録媒体
US8115883B2 (en) 2009-08-27 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
KR101097333B1 (ko) 2010-02-11 2011-12-23 삼성모바일디스플레이주식회사 액정표시장치
JP5437895B2 (ja) 2010-04-20 2014-03-12 株式会社ジャパンディスプレイ 表示装置及びその製造方法
KR101710179B1 (ko) * 2010-06-03 2017-02-27 삼성디스플레이 주식회사 평판 표시 장치 및 그 제조 방법
WO2012029596A1 (en) * 2010-09-03 2012-03-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR101824537B1 (ko) 2010-10-01 2018-03-15 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 유기 발광 디스플레이
KR20120061312A (ko) 2010-12-03 2012-06-13 삼성모바일디스플레이주식회사 유기 전계 발광 표시장치 및 그의 제조방법
TW201224615A (en) 2010-12-06 2012-06-16 Chunghwa Picture Tubes Ltd Pixel array substrate and method of fabricating the same
KR20120124527A (ko) 2011-05-04 2012-11-14 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법
TWI423310B (zh) 2011-06-10 2014-01-11 Au Optronics Corp 畫素結構
US8415227B2 (en) * 2011-08-29 2013-04-09 Intermolecular, Inc. High performance dielectric stack for DRAM capacitor
JP5834705B2 (ja) * 2011-09-28 2015-12-24 セイコーエプソン株式会社 電気光学装置、及び電子機器
KR102025836B1 (ko) 2011-11-07 2019-09-27 삼성디스플레이 주식회사 박막 트랜지스터 어레이 기판, 이를 포함하는 유기 발광 표시 장치 및 그 제조 방법
TWI584383B (zh) * 2011-12-27 2017-05-21 半導體能源研究所股份有限公司 半導體裝置及其製造方法
KR101945237B1 (ko) 2012-06-01 2019-02-08 삼성디스플레이 주식회사 유기 발광 표시 장치
JP6284140B2 (ja) * 2013-06-17 2018-02-28 株式会社タムラ製作所 Ga2O3系半導体素子
KR102103960B1 (ko) * 2013-08-16 2020-04-24 삼성디스플레이 주식회사 박막 트랜지스터 어레이 기판, 이를 포함하는 표시 장치, 및 박막 트랜지스터 어레이 기판의 제조 방법
KR20150021622A (ko) 2013-08-20 2015-03-03 삼성디스플레이 주식회사 표시패널
KR20150030034A (ko) * 2013-09-11 2015-03-19 삼성디스플레이 주식회사 표시장치 및 그 제조방법
US9257497B2 (en) * 2013-12-31 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal (MIM) capacitor techniques
TWI551926B (zh) 2014-01-27 2016-10-01 友達光電股份有限公司 畫素結構
US9178006B2 (en) * 2014-02-10 2015-11-03 Intermolecular, Inc. Methods to improve electrical performance of ZrO2 based high-K dielectric materials for DRAM applications
US9425061B2 (en) * 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Buffer cap layer to improve MIM structure performance
US20160133691A1 (en) * 2014-11-06 2016-05-12 Intermolecular, Inc. DRAM MIMCAP Stack with MoO2 Electrode
KR102349285B1 (ko) * 2014-11-17 2022-01-11 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
KR102490881B1 (ko) * 2014-12-26 2023-01-25 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
KR102336033B1 (ko) * 2015-04-22 2021-12-08 에스케이하이닉스 주식회사 매립금속게이트구조를 구비한 반도체장치 및 그 제조 방법, 그를 구비한 메모리셀, 그를 구비한 전자장치
KR20160133031A (ko) * 2015-05-11 2016-11-22 에스케이하이닉스 주식회사 캐패시터를 포함하는 반도체장치 및 그 제조 방법
KR102516054B1 (ko) * 2015-11-13 2023-03-31 삼성디스플레이 주식회사 유기발광표시장치 및 유기발광표시장치의 제조 방법

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
《Structure and Electrical Properties of Al-Doped HfO2 and ZrO2 Films Grown via Atomic Layer Deposition on Mo Electrodes》;Yeon Woo Yoo, et al.;《ACS Appl. Mater. Interfaces》;20141125;正文第22479-22480页及附图10 *

Also Published As

Publication number Publication date
KR102318375B1 (ko) 2021-10-26
US20180026055A1 (en) 2018-01-25
TW201820638A (zh) 2018-06-01
KR102455485B1 (ko) 2022-10-14
CN109075208A (zh) 2018-12-21
US20230369354A1 (en) 2023-11-16
KR20210132217A (ko) 2021-11-03
US20220013547A1 (en) 2022-01-13
KR20220156022A (ko) 2022-11-24
KR20180135981A (ko) 2018-12-21
CN114975634A (zh) 2022-08-30
US20180026054A1 (en) 2018-01-25
WO2018017325A1 (en) 2018-01-25
US11742362B2 (en) 2023-08-29
US11145683B2 (en) 2021-10-12
KR20200117051A (ko) 2020-10-13

Similar Documents

Publication Publication Date Title
CN109075208B (zh) 用于显示装置中的包含氧化锆的混合高k介电材料膜堆叠
US20170229554A1 (en) High-k dielectric materials utilized in display devices
US11600642B2 (en) Layer stack for display applications
US11894396B2 (en) High-K dielectric materials comprising zirconium oxide utilized in display devices
TWI840259B (zh) 薄膜電晶體結構、用以形成用於顯示裝置之複合膜層之方法、及用於顯示裝置中的裝置結構
KR102430400B1 (ko) 디스플레이 애플리케이션들을 위한 저장 커패시터들의 누설 전류를 감소시키는 방법
TWI840273B (zh) 用於顯示器應用之堆疊結構

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant