CN109061432A - Ic芯片的测试装置、方法及系统和计算机可读记忆媒体 - Google Patents

Ic芯片的测试装置、方法及系统和计算机可读记忆媒体 Download PDF

Info

Publication number
CN109061432A
CN109061432A CN201810230288.XA CN201810230288A CN109061432A CN 109061432 A CN109061432 A CN 109061432A CN 201810230288 A CN201810230288 A CN 201810230288A CN 109061432 A CN109061432 A CN 109061432A
Authority
CN
China
Prior art keywords
displacement frequency
test
section
scanning
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810230288.XA
Other languages
English (en)
Other versions
CN109061432B (zh
Inventor
宋在勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Innodio Co Ltd
Original Assignee
Innodio Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020170053361A external-priority patent/KR101848480B1/ko
Priority claimed from JP2017087586A external-priority patent/JP6209299B1/ja
Application filed by Innodio Co Ltd filed Critical Innodio Co Ltd
Publication of CN109061432A publication Critical patent/CN109061432A/zh
Application granted granted Critical
Publication of CN109061432B publication Critical patent/CN109061432B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Environmental & Geological Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Power Engineering (AREA)

Abstract

本发明实施例公开了一种IC芯片的测试装置,所述测试装置包括位移频率搜寻部,通过将目标扫描区段移入至所述扫描路径,并搜寻所述扫描测试结果为正常或者失败时的位移频率,所述目标扫描区段是欲从属于扫描样本群的两个以上的扫描区段中搜寻可用的位移频率的扫描区段,当搜寻所述目标扫描区段的所述位移频率时,所述位移频率搜寻部,通过增加或者减小所述目标扫描区段的位移频率使其与的移入所述扫描路径的其他扫描区段中至少一个扫描区段不同,或者设置为不同的位移频率,以搜寻所述扫描测试结果为正常或者失败的位移频率。本发明实施例还公开了一种IC芯片的测试方法、一种IC芯片的测试系统和一种计算机可读性记忆媒体。

Description

IC芯片的测试装置、方法及系统和计算机可读记忆媒体
技术领域
本发明涉及一种集成电路(Integrated Circuit,IC)芯片的测试装置、一种IC芯片的测试方法及一种IC芯片的测试系统和一种计算机可读记忆媒体。
背景技术
一种普遍用以测试IC芯片的方法为施加测试数据至所述IC芯片的输入端,并将预定预测值(expected value)或预测结果(expected result)与所述IC芯片的输出值进行比较(例如,参照专利文献1)。然而,对于包括具有如正反器(flip-flop)的储存元件(storageelement)的循序逻辑电路(Sequential Logic)的IC芯片,不容易施加预期值至所述IC芯片内的正反器,或不容易从外部检测所述正反器的值。
扫描设计(scan design)方法为可测性设计(design for testability,DFT)方法之一,其用以增加电路的可控制性(controllability)及观察性(observability)。所述扫描设计方法使用自动测试模式产生器(ATPG)以获得具有小尺寸及高错误含盖率(faultcoverage)的测试数据,所述自动测试模式产生器(ATPG)是根据受测电路的结构信息,自动产生测试模式的软件。
换而言之,扫描设计使具有循序逻辑电路的IC芯片可从外部被更容易控制及观察,其通过将所述循序逻辑电路(sequential logic)视为组合逻辑电路(combinationallogic),以执行所述扫描测试,且通过自动测试模式产生器(ATPG)将测试数据大小减至最小化。通过扫描设计及ATPG软件所获的测试数据中包含一个以上的扫描模式。扫描测试过程中扫描模式可获得序号。
一般的扫描测试程序如下步骤:
(1)将主要输入测试数据送至IC芯片的主要输入端口。
(2)将扫描致能信号输入至扫描致能端口,使所述IC芯片设定为扫描模式。
(3)将扫描模式位移入扫描输入端口,使扫描路径上的正反器加载扫描模式。本发明中,扫描输入端口上的移入(shift in)或者从扫描输出端口的移出(shift out),有时会统称为位移(shift)。此外,位移所述扫描模式的时间(周期)与位移频率为倒数关系。加载在扫描路径上的扫描模式被送至组合电路。扫描模式被送至组合电路的后,通过比较从主要输出端口输出的结果与预期输出值比较,如果比较结果不相同,则IC芯片被判定为不良。
(4)将扫描失能信号输入至所述扫描致能端口,使IC芯片从扫描模式转换为功能模式。功能模式中,当输入时钟信号时,正反器取得组合电路的输出值。此操作被称为扫描撷取(scan capture),此时的模式被称为扫描撷取模式(scan capture mode)。
(5)将扫描致能信号输入至扫描致能端口,使IC芯片重新从功能模式切换为扫描模式。
(6)将扫描路径上的正反器取得的值经由扫描输出端口移出并下载。
(7)将下载的输出模式与预定预期模式比较,以判断IC芯片是否是正常。在此,预期模式作为当IC芯片正常运作时施加主要输入测试数据及扫描模式,并在扫描撷取操作后通过扫描输出端口输出的扫描模式,其是测试前已知值或是预期的结果模式。步骤3中的比较结果相同且步骤7中的比较结果相同时,测试结果为正常(PASS),其意味IC芯片为良品。否则,IC芯片为不良品。测试结果正常(PASS)意味着IC芯片无瑕疵(fault-free),测试结果失败(FAIL)意味IC芯片是有瑕疵。
扫描测试大致分为固定值错误测试(stuck-at-fault test)及延迟错误测试(delay-fault test)。在此,固定值错误测试是指IC芯片上的任一信号线经由逻辑0(logical0)或逻辑1(logical1)值被无意固定的状态。延迟错误测试是指,当通过IC芯片上的任一信号线或信号路径传送信号值时,由于时间延误而无法满足IC芯片的规格的状态。
延迟错误测试包括转换延时(transition delay)测试及路径延时(path delay)测试,也称为,加速测试(at-speed test)。转换延时测试是用以测试在IC芯片上的一特定信号线或节点,是否存在其信号值从1变0或从0变1的转换延迟的时间问题。路径延时测试是用以测试在IC芯片上的一特定信号路径上是否存在其信号值从1变0或从0变1的转换延迟的时间问题。
典型的延迟错误测试方法包括撷取开启(launch-on-capture)方法及位移开启(launch-on-shift)方法,其方法也由将延迟错误测试的扫描模式移入至扫描路径的上传步骤,及从所述扫描路径的正反器撷取的延迟错误测试结果移出的下载步骤构成。
对于这种扫描测试,需要与所述扫描路径上的正反器数目一样多的计时脉冲。这将导致需要耗费可观的时间,以执行移入及移出操作。然而,不能简单地提高用于位移所述扫描模式至所述扫描路径上的计时信号频率(即,位移频率),用以减少测试时间。
例如,简单提高位移频率可导致过度错误(overkill),其因耗电或临界路径(critical path)时间延迟的问题,将良品判断成不良品。
此外,因深次微米(deep sub-micron,DSM)制程与低耗电制程及低耗电设计,IC芯片变得更低耗电,所述IC芯片操作频率的电源噪声影响将变得更加严厉。特别而言,因为IC芯片在扫描模式时比在操作模式时产生更多的切换动作,因切换动作所产生的电源噪声会导致信号在线的额外延迟,因而在延时测试时,会产生过度错误(overkill)。因此,简单提高位移频率有其技术上的限制。
此外,对于深次微米(DSM)制程,有关IC芯片信号线间的信号干扰的信号整合问题比先前变得更加重要。因为在扫描模式时的可观切换动作,信号线间的信号干扰可能变得更加严重。因此,在延时测试中,信号线间的信号干扰所产生的信号线上的额外延迟可能产生延时测试过度错误(overkill)。
此外,当根据扫描模式的耗电状况搜寻位移频率时,即便耗电没有超过IC芯片规格,作为扫描测试特征,也因为IC芯片的过度电路切换动作及程序差异而产生压降(IR-drop)或接地反弹(ground bounce)而可能产生扫描测试错误。
例如,当以扫描模式执行延时测试时,因为压降(IR-drop),即电压降,可能导致一特定信号在线的额外延时,此将可能会导致延时测试过度错误(overkill)。相反地,即使扫描模式的耗电超过IC芯片规格,也因为IC的制程及设计特性,压降(IR-drop)或接地反弹(ground bounce)可能不会发生。因此,仅根据耗电值搜寻所述IC的最佳位移频率,其会有技术上的限制。此外,当只根据扫描模式的耗电值搜寻最大位移频率时,即使耗电值没有超过IC芯片规格,也因为增加的位移频率可能导致扫描路径上的临界路径(critical path)时序问题。
此外,当提高位移频率时,可能在扫描路径上发生临界路径时序问题,但也存在不发生因扫描模式而产生的逻辑问题的情况。换而言的即,根据扫描路径上的临界路径的位值,在一特定扫描位移周期内可能发生一错误(false)临界路径。
例如,两个连续逻辑-0位被位移并储存在组成扫描路径上的临界路径的两个别正反器之后,以高位移频率执行位移操作时,可能发生临界路径时间延迟的问题,其中位于临界路径开始处并储存在正反器的逻辑-0位信号,可能在一个正常时间内无法到达下一个正反器。然而,在这种情况下,基于位移操作而形成临界路径的两正反器中储存的位值,虽没有发生逻辑问题,但是可能会发生故障(false)临界路径。
此外,对于使用多重电压岛(voltage islands)或多重电压区域(voltagedomains or regions)技术的低电源IC芯片,每个个电压区域都具有不同的容许耗电量,因为高电压会施加于需要高速效率的设计区域,而相对低的电压会施加于其他设计区域。
【先行技术文献】
<专利文献>专利文献1:韩国专利公开公报第10-2012-0102876号
发明内容
本发明的目的在于提供一种IC芯片的测试装置、一种IC芯片的测试方法及一种IC芯片的测试系统和一种计算机可读记忆媒体,其通过优化测试数据的位移频率,能减少测试时间、加强测试质量及良率。
根据本发明一实施例,提供一种IC芯片的测试装置,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描模式至扫描路径,并通过比较预定预期值与所述扫描输出端口输出的输出值,执行扫描测试以检查所述IC芯片是否存在缺陷,所述测试装置包括位移频率搜寻部,通过将目标扫描区段移入至所述扫描路径,并搜寻所述扫描测试结果为正常或者失败时的位移频率,所述目标扫描区段是欲从属于扫描样本群的两个以上的扫描区段中搜寻可用的位移频率的扫描区段。当搜寻所述目标扫描区段的所述位移频率时,所述位移频率搜寻部,通过增加或者减小所述目标扫描区段的位移频率使其与的移入所述扫描路径的其他扫描区段中至少一个扫描区段不同,或者设置为不同的位移频率,以搜寻所述扫描测试结果为正常或者失败的位移频率。
本发明至少一实施例中,当搜寻所述目标扫描区段的可用位移频率时,所述位移频率搜寻部通过增加或者减小所述目标扫描区段的位移频率,以搜寻所述扫描测试结果由正常变为失败或由失败变为正常时区域的位移频率。
本发明至少一实施例中,当搜寻所述目标扫描区段的可用位移频率时,当以使用所述目标扫描区段的第1位移频率所获得的第1扫描测试结果和使用所述目标扫描区段的前任一扫描区段的与第1位移频率不同的第2位移频率所获得的第2扫描测试结果皆为正常时,所述位移频率搜寻部将所述第1位移频率确定为所述目标扫描区段的可用位移频率。
本发明至少一实施例中,所述IC芯片包括晶圆上的芯片或封装的芯片。
根据本发明至少一实施例,提供一种IC芯片的测试装置,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并通过比较预定预期值与所述扫描输出端口输出的输出值,执行扫描测试以检查所述IC是否存在缺陷,所述测试装置包括位移频率搜寻部,通过执将包括第1扫描区段的第1扫描模式移入所述扫描路径并执行测试的第1扫描测试步骤,及将包括第1扫描区段后的第2扫描区段的第2扫描模式移入所述扫描路径并执行测试的第2扫描测试步骤,以搜寻第2扫描区段可用的位移频率。所述位移频率搜寻部,在第1扫描测试步骤中以第1位移频率位移所述第1扫描区段至所述扫描路径,在第2扫描测试步骤中以与第1位移频率不同的第2位移频率位移所述第2扫描区段至所述扫描路径,且搜寻第2扫描区段的可用位移频率时,当第1扫描测试步骤的结果与第2扫描测试步骤的结果皆为正常时,将所述第2位移频率确定为所述第2扫描区段的可用位移频率。
本发明的至少一实施例中,所述第1扫描区段是第1扫描模式或第1扫描模式的一部分,而所述第2扫描区段是第2扫描模式或第2扫描模式的一部分。
本发明的至少一实施例中,当搜寻第2扫描区段的可用位移频率时,所述位移频率搜寻部用通过增加或减少第2位移频率使其不同于位移至所述扫描路径的其他扫描区段中的至少一扫描区段,或将所述第2位移频率设置为其他频率,以搜寻第2扫描区段的位移频率。
本发明至少一实施例中,所述IC芯片包括晶圆上的芯片或封装的芯片。
根据本发明的至少一实施例,提供一种IC芯片的测试方法,所述测试方法用于IC芯片的测试装置,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并通过比较预定预期值与所述扫描输出端口输出的输出值,执行扫描测试以检查所述IC是否存在缺陷,所述测试包括搜寻位移频率步骤,通过将目标扫描区段移入至所述扫描路径,并搜寻所述扫描测试结果为正常或者失败时的位移频率,所述目标扫描区段是欲从属于扫描样本群的两个以上的扫描区段中搜寻可用的位移频率的扫描区段。当搜寻所述目标扫描区段的所述位移频率时,所述搜寻位移频率步骤包括,增加或者减小所述目标扫描区段的位移频率使其与的移入所述扫描路径的其他扫描区段中至少一个扫描区段不同,或者设置为不同的位移频率,以搜寻所述扫描测试结果为正常或者失败的位移频率的步骤。
本发明至少一实施例中,当搜寻所述目标扫描区段的可用位移频率时,所述搜寻位移频率的步骤包括通过增加或者减小所述目标扫描区段的位移频率,以搜寻所述扫描测试结果由正常变为失败或由失败变为正常时区域的位移频率的步骤。
本发明至少一实施例中,当搜寻所述目标扫描区段的可用位移频率时,当以使用所述目标扫描区段的第1位移频率所获得的第1扫描测试结果和使用所述目标扫描区段的前任一扫描区段的与第1位移频率不同的第2位移频率所获得的第2扫描测试结果皆为正常时,所述搜寻位移频率的步骤包括将所述第1位移频率确定为所述目标扫描区段的可用位移频率的步骤。
本发明至少一实施例中,所述IC芯片包括晶圆上的芯片或封装的芯片。
此外,根据本发明至少一实施例,提供一种IC芯片的测试方法,所述测试方法用于IC芯片的测试装置,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并通过比较预定预期值与所述扫描输出端口输出的输出值,执行扫描测试以检查所述IC芯片是否存在缺陷,所述扫描测试包括搜寻位移频率步骤,通过执行将包括第1扫描区段的第1扫描模式移入所述扫描路径并执行测试的所述第1扫描测试步骤,及将包括第1扫描区段后的第2扫描区段的第2扫描模式移入所述扫描路径并执行测试的所述第2扫描测试步骤,以搜寻第2扫描区段的可用的位移频率。所述搜寻位移频率步骤包括在第1扫描测试中以第1位移频率将所述第1扫描区段位移至所述扫描路径,而在第2扫描测试步骤中以与第1位移频率不同的第2位移频率将所述第2扫描区段位移至所述扫描路径的过程,及当搜寻第2扫描区段的可用位移频率时,当第1扫描测试步骤的结果与第2扫描测试步骤的结果皆为正常时,将所述第2位移频率确定为所述第2扫描区段的可用位移频率。
本发明至少一实施例中,所述第1扫描区段是第1扫描模式或第1扫描模式的一部分,而所述第2扫描区段是第2扫描模式部或第2扫描模式的一部分。
本发明至少一实施例中,在搜寻第2扫描区段的可用位移频率时,所述搜寻位移频率的步骤包括通过使第2位移频率增加或减少或者将第2位移频率设置成其他频率以与位移至所述扫描路径的其他扫描区段中的至少一扫描区段不同,以搜寻所述第2扫描区段的位移频率的过程。
本发明至少一实施例中,所述IC芯片包括晶圆上的芯片或封装的芯片。
根据本发明至少一实施例,提供一种IC芯片的测试系统,其包括测试主机,用以控制IC芯片的扫描测试;计算机主机,内置于所述测试主机内或另行提供,所述计算机主机包括处理器;测试头,电连接至所述测试主机,及用以输入测试数据至所述IC芯片;及如前述任意一项实施例所述的测试装置。
本发明至少一实施例中,所述计算机主机包括测试装置。
此外,根据本发明至少一实施例,提供一种计算机可读记忆媒体,其中记录有用于执行根据本发明至少一实施例的测试方法的计算机程序。
此外,根据本发明至少一实施例,提供一种计算机可读记忆媒体,其中记录有通过执行根据本发明至少一实施例的测试方法被确定为每个目标扫描区段的可用位移频率的相关信息。
此外,根据本发明至少一实施例,提供一种计算机可读记忆媒体,其中记录有用于通过执行根据本发明至少一实施例的测试方法以搜寻每个目标扫描区段的可用位移频率的、包括目标扫描区段的测试数据。
根据本发明至少一实施例,本发明能够提供减少测试时间的优化位移频率,并解决过度错误(overkill)问题,该问题是在测试IC芯片时,当只考虑每个扫描模式,每个扫描区段,或每个扫描群组的耗电量或者临界路径(critical path)延迟时间的情况下增加位移频率时,因过度位移频率将正常芯片判断为不良品。
此外,在测试IC芯片时,通过考虑测电源噪声或信号干扰的影响,可提供优化的位移频率。
此外,在测试IC芯片时,通过考虑过度的电路切换动作、制程变化、深次微米制程、低耗电制程或设计而产生的压降(IR-drop)或接地反弹(ground bounce),可提供优化的位移频率。
此外,在测试IC芯片时,通过考虑增加位移频率时的扫描路径上临界路径时序,本发明提供优化位移频率。
此外,在测试IC芯片时,当基于扫描路径上的位值,扫描路径的临界路径变为故障(false)临界路径,通过忽略临界时序限制与增加位移频率,而使IC芯片能正常运作的最大频率范围,本发明提供优化位移频率。
此外,在测试IC芯片时,扫描模式组上的无关(don't-care)位可允许使用较高频的位移频率。无关位是指不影响测试结果的位。
此外,在测试IC芯片时,在使用多重电压岛(multiple voltage islands)或多重电压区域(voltage domains or regions)技术的低电源IC芯片情况时,可提供优化的位移频率以反应每个电压岛,或电压区域的耗电量。
此外,在测试IC芯片时,在搜寻扫描模式或扫描区段的优化位移频率时,需要所述IC芯片的电路设计信息,因此每个扫描模式或扫描区段的位移频率只能由所述扫描模式组提供,而无须芯片的电路设计信息。
此外,在测试IC芯片时,起初将类似于名义位移频率的预定的位移频率分配给所有扫描区段后,对于每个扫描区段的电源耗量或电流耗量高于一定以上的扫描模式或扫描区段进行搜寻优化位移频率的过程的情况,与搜寻所有扫描模式或每个扫描区段的位移频率的方法相比,可减少处理时间。
此外,在测试IC芯片时,可防止为了解决在作为测试路径的位移频率的范围内发生非正常性的测试失败的失败洞(fail hole)问题而时间增加的问题。可防止为了解决失败洞问题而导致芯片错误涵盖率(fault coverage)减小或场逃脱问题(field escapeproblem)的发生。
此外,在加速芯片老化的加压或老化(burn-in)测试中,可减少测试时间及改善测试质量。此外,还可以精确预计加压或老化测试时间,而且还可精确预计加压或老化测试的质量。
此外,通过IC芯片测试,可找出改善良率的信息,或者可改善良率。
附图说明
图1是图示应用扫描设计方法的IC芯片的示意图;
图2和图3是图示本发明至少一实施涉及的例芯片测试系统的方块图;
图4是图示本发明至少一实施例涉及的扫描模式例子的示意图;
图5-9是图示本发明至少一实施例涉及的测试数据分割方法的示意图;
图10是图示本发明至少一实施例涉及的扫描区段数目与扫描测试时间减少率之间关系的曲线;
图11是图示本发明至少一实施例涉及的在每个测试区段分配位移频率以最小化芯片测试时间的示意图;
图12是图示本发明至少一实施例涉及的用以最小化芯片测试时间的搜寻位移频率方法的示意图;
图13-15是图示本发明至少一实施例涉及的用以确定位移频率的输入至扫描路径的输出样示例子的示意图;
图16是图示本发明至少一实施例涉及的搜寻扫描模式的可用位移频率方法例子的曲线图;
图17是图示本发明至少一实施例涉及的当增加或减少欲搜寻最佳位移频率的测试模式的位移频率时,其他测试模式的测试结果为失败时的曲线图;
图18-20是图示本发明至少一实施例涉及的用于搜寻最佳位移频率的扫描模式、扫描区段及位移频率信息的组成的例子的示意图;
图21-28是图示本发明至少一实施例涉及的产生搜寻信息方法的各种例子的示意图;
图29是图示本发明至少一实施例涉及的最小化芯片测试时间方法例子的流程图;
图30是图示本发明至少一实施例涉及的用于最小化芯片测试时间而确定每个扫描区段的最佳位移频率方法的另一例子的流程图;
图31是图示本发明至少一实施例涉及的最小化芯片测试时间的方法的详细过程例子的流程图;
图32是图示本发明至少一实施例涉及的最小化芯片测试时间的方法中确定测试正常与否的详细过程例子的流程图;
图33是图示本发明至少一实施例涉及的最小化芯片测试时间的方法的另一例子的流程图;
图34是图示本发明至少一实施例涉及的最小化芯片测试时间的装置的方块图;
图35是图示本发明至少一实施例涉及的并列搜寻或者确定多个扫描区段的最佳位移频率的方法的例子的示意图;
图36是图示本发明至少一实施例涉及的用以最小化芯片测试时间的重新布置描模式的方法例子的示意图;
图37及38是图示本发明至少一实施例涉及的老化测试系统结构的方块图;
图39是图示本发明至少一实施例涉及的使用单一扫描位移频率的老化测试时,所述IC芯片受温度影响例子的示意图;
图40是图示本发明至少一实施例涉及的使用优化位移频率的老化测试时,所述IC芯片受温度影响例子的示意图;
图41是图示每个扫描区段未使用一优化位移频率,及使用一优化位移频率情况下,扫描位移操作时,所述IC芯片上发热状态的热影像;
图42是图示本发明至少一实施例涉及的在测试数据的耗电量被调整前,老化测试时产生的耗电量的曲线图;
图43是图示本发明至少一实施例涉及的在测试数据的耗电量被调整后,老化测试时产生的耗电量的曲线图;
图44是图示本发明至少一实施例涉及的为了最小化老化测试时间而搜寻各扫描区段的最佳位移频率的方法例子的流程图;
图45是图示本发明至少一实施例涉及的老化测试时间最小化装置的方块图;
图46是图示通过比较各扫描模式接近IC芯片的临界电路消耗时的位移频率和通过增减位移频率最优化的位移频率的实验结果的表格;
图47是图示在IC芯片测试时可能产生的测试失败洞例子的曲线图;
图48是图示本发明涉及的解决测试失败洞问题方法的曲线图;
图49是图示本发明至少一实施例涉及的解决测试失败洞问题方法的流程图;
图50是图示本发明至少一实施例涉及的解决所述测试失败的问题方法的另一例子的曲线图;
图51是图示本发明至少一实施例涉及的用于减少测试时间及改善良率的搜寻位移频率的方法的曲线图。
具体实施方式
以下参照附图,对本发明涉及的最小化扫描测试时间的方法及其装置加以详细说明。
图1是图示应用扫描设计方法的IC芯片的方块图。
如图1所示,IC芯片100包括组合电路110及循序逻辑电路。循序逻辑电路包括多个正反器120、130及140。每个个正反器120、130及140可被实施为各种包含有多任务器(Multiplexer,MUX)形式的扫描正反器的形式。
IC芯片100包括主输入(PI,Primary Input)端口150、主输出(PO,PrimaryOutput)端口152、扫描致能(SE,Scan Enable)端口160、扫描输入端口162、时钟(clock)输入端口164及扫描输出端口166。扫描致能端口160与时钟输入端口164连接至正反器120、130及140。每个正反器120、130及140连接至组合电路110,使每个正反器的储存值可输出至组合电路110,并组合电路110的输出值可被输入至每个正反器。
每个主输入端口150及主输出端口152在IC芯片100正常运作时,可用于输入及输出数据。
扫描致能端口160是用以输入扫描致能信号或扫描失能信号。根据扫描致能信号或者扫描失能讯,IC芯片100可为执行正常功能的正常模式(工作模式)或测试IC芯片100的扫描模式。
扫描输入端口162是用以输入扫描模式以测试IC芯片100。扫描输出端口166用以输出执行扫描模式的扫描测试结果。从扫描输出端口输出的位值模式被称为输出扫描模式、输出模式或扫描测试结果模式。
时钟输入端口164用以输入时钟信号以触发经由扫描输入端口162输入的扫描模式位移至正反器120、130及140并承载,或撷取组合电路110的输出以储存至正反器120、130及140。例如,正反器120、130及140是基于通过时钟输入端口164输入的时钟信号的上升或下降端缘所触发的。
从扫描输入端口162经由多个正反器120、130及140连接至扫描输出端口166的路径(虚线标示)称为一扫描链或扫描路径。虽然图1仅显示单一扫描路径,但多条扫描路径是可以适当地被使用。
在功能模式时,组合电路110执行经由主输入端口150接收数据及主输出端口152输出结果的运作。此外,在功能模式时,正反器120、130及140根据时钟信号接收从组合电路110的输出值,在扫描测试时,此动作称为扫描撷取操作。
在扫描模式时,根据时钟信号,扫描模式位依序被移入正反器120、130及140,及经由扫描输出端口166依序移出。扫描模式移入正反器120、130及140的运作称为载入(load),而正反器120、130及140储存值可从扫描输出端口166移出的运作称为载出(unload)。
例如,当在IC芯片的扫描路径上的正反器120、130及140数目为三个时,每个扫描模式的长度为三位,其与正反器数目相同。根据时钟信号,三位的扫描模式依序被移入位在扫描路径的正反器120、130及140。
即,如果在时钟信号上升端缘时在正反器中储存数值,则扫描模式的第1位是在第1时钟信号上升端缘时储存在第1正反器140,在第2时钟信号上升端缘时第1正反器140的输出值储存在第2正反器130,而扫描模式的第2位储存在第1正反器140.。在第3时钟信号上升端缘时第2正反器130的输出值储存在第3正反器120,第1正反器140的输出值储存在第2正反器130,扫描模式的第3位储存在第1正反器140。因此,经由三个时钟信号将一个扫描模式加载至扫描路径上的正反器120、130及140上。同样方式,扫描路径上的正反器120、130及140的值经由三个时钟信号由扫描输出端口166载出。
进一步观察扫描测试程序,其步骤具体如下:
(1)将主要输入测试数据送至IC芯片100的主要输入端口150。
(2)将扫描致能信号输入至扫描致能端口160,使IC芯片100设定为扫描模式。
(3)将扫描模式位移入扫描输入端口162,使扫描模式加载扫描路径的正反器120、130、140。加载扫描路径的扫描模式送至组合电路110。送至组合电路110的扫描模式后从主要输出端口152输出的输出结果与预期主要输出值比较,当比较结果不相同时,IC芯片100被认定为是不良。
(4)将扫描失能信号输入至扫描致能端口160,使IC芯片100转换为功能模式。在功能模式中,当输入时钟信号时,正反器120、130及140撷取组合电路110的输出值。此操作被称为扫描撷取,及扫描撷取所执行的模式被称为扫描撷取模式。
(5)将扫描致能信号输入至扫描致能端口160,使IC芯片100重新从功能模式切换为扫描模式。
(6)将扫描路径上的正反器120、130及140上撷取的值经由扫描输出入端口166移出并载出。
(7)将载出的输出模式与预定预期输出模式比较,以判断IC芯片100是否正常运作。在此,所述预期模式是已知值,或是预期输出模式,其是当IC芯片100正常运作时,施予主要输入测试数据及扫描模式,并执行扫描操作后由扫描输出入端口166输出的输出模式。当步骤3的比较结果显示相同及当步骤7的比较结果显示相同时,则所述测试结果为正常(PASS),其意味IC芯片100为良品。不然,其意味IC芯片100为不良。测试结果正常(PASS)意味IC芯片100是无瑕疵,测试结果失败(FAIL)意味IC芯片100是有瑕疵。
图2及3是分别图示一应用本发明且称之为ATE(Automatic Test Equipment)的IC芯片测试系统的一例的构成方块图。
如图2及图3所示,芯片测试系统包括计算机主机200、300,测试主机210、310,测试头220、320,及界面板230、330。置放在界面板230、330上供测试的待测装置(DUT,DeviceUnder Test)240、340是晶圆上的IC或封装IC等。当待测装置(DUT)240、340是晶圆上的IC,所述芯片测试系统还包括探针350。此后,芯片,晶圆上的IC芯片及封装IC皆统称为IC芯片或芯片。
测试主机210、310执行扫描测试的整体控制。例如,测试主机210、310控制用于待测装置(DUT)测试设定,产生电子信号供待测装置(DUT)测试,及观察和测量待测装置(DUT)测试结果信号等整体程序。测试主机210、310可由计算机构成,所述计算机具有中央处理器、内存、硬盘、用户界面等。在另一实施例中,测试主机210、310还包括装置电源供给装置以供电给待测装置(DUT)240、340。
测试主机210、310还控制一个数字信号处理(DSP,Digital Signal Processor,未图示)以处理各种数字信号及测试头220、320。测试主机210、310包括专用硬件,如控制器,信号产生器供给待测装置(DUT)240、340信号,软件,固件等。测试主机210、310也称为主架构或服务器。
计算机主机200、300可以是如个人计算机,工作站等的计算机,其可允许用户执行测试程序,控制测试流程,及分析测试结果。一般而言,计算机主机200、300具有中央处理器,储存部,如内存及硬盘,用户界面等。计算机主机200、300可经由有线或无线连接至测试主机210、310。计算机主机200、300可包括专用硬件,软件,固件等,以控制测试。虽然本实施例中,计算机主机与测试主机是分别显示在图中,但计算机主机200、300与测试主机210、310可整合为一台装置。
测试主机210、310或计算机主机200、300的内存,例如可以是动态随机存取内存(DRAM),静态随机存取内存(SRAM),闪存等。内存可储存程序与数据,以执行待测装置(DUT)测试。
测试主机210、310或计算机主机200、300的软件或固件包括装置驱动软件、系统操作(OS)软件及程序以执行待测装置(DUT)测试。这些程序以脚本形式储存在内存中,用以执行设定待测装置(DUT)测试,产生待测装置(DUT)测试的信号,观察和测量待测装置(DUT)测试结果信号等,可被中央处理器执行。因此,扫描模式可由此些程序送至待测装置(DUT)测试。此外,报告及分析待测装置(DUT)测试及测试结果可自动从这些程序取得。这些程序使用的语言包括C、C++、java等各种语言。这些程序储存在储存装置,如硬盘、磁带、闪存等。
测试主机210、310或计算机主机200、300的中央处理器是处理器,其执行储存在内存的软件或程序的脚本。例如,当用户指令经由如键盘,鼠标等用户界面输入时,所述中央处理器分析用户指令,及在执行软件或程序的用户指令操作后,将所述结果经由使用者界面,如喇叭,打印机,监视器等,向使用者提供。
测试主机210、310或计算机主机200、300的使用者界面允许用户及装置互相传送及接收信息。例如,用户界面包括如键盘,触控屏幕,鼠标等输入界面部,及喇叭,打印机,监视器等输出界面部。
测试头220、320包括通道等,用以在测试主机210、310与待测装置(DUT)240、340间传送电子信号。界面板230、330置放在测试头220、320上方。通常,用于测试封装IC芯片的界面板被称为载板(load bord),用于测试晶圆上的IC芯片的界面板被称为探针卡(probecard)。
在本发明至少一实施例中,计算机主机200、300包括IC芯片测试装置250、360。
在本发明至少一实施例中,IC芯片测试装置250、360包括位移搜寻部251、361,在扫描样本组中包括的至少两个扫描区段中,将欲搜寻可用的位移频率的目标扫描区段,通过所述扫描路径进行位移,以搜寻所述扫描测试结果为正常或失败的一位移频率。
当搜寻该目标扫描区段的位移频率时,位移频率搜寻部251、361通过以与通过扫描路径进行位移的其他扫描区段中至少一个扫描区段不同的形式的增加或者减少目标扫描区段的位移频率或者以不同的位移频率设置目标扫描区段的位移频率,从而搜寻扫描测试结果为正常或失败的位移频率。
当搜寻目标扫描区段的可用位移频率时,位移频率搜寻部251、361通过以增加或者减少目标扫描区段的位移频率的形式,搜索测试结果由正常变为失败或由失败变为正常的区域的位移频率。
当搜寻目标扫描区段的可用位移频率时,当使用目标扫描区段的第1位移频率获得的第1扫描测试结果,及使用目标扫描区段的与第1位移频率不同的第2位移频率而获得的第2扫描测试结果皆为正常时,位移频率搜寻部251、361将第1位移频率确定为目标扫描区段的可用位移频率。
在本发明至少一实施例中,IC芯片测试装置250、360包括位移频率搜寻部251、361,位移频率搜寻部251、361通过执行将包括第1扫描区段的一第1扫描模式移入扫描路径以执行第1扫描测试步骤;及在第1扫描区段移入所述扫描路径后,将包括第2扫描区段的第2扫描模式移入所述扫描路径以执行第2扫描测试步骤,从而搜寻第2扫描区段的可用位移频率。
位移频率搜寻部251、361在第1扫描测试步骤中将第1扫描区段利用第1位移频率移入扫描路径;在第2扫描测试步骤中将第2扫描区段利用与第1位移频率不同的第2位移频率移入扫描路径中。当搜寻第2扫描区段的可用位移频率时,当第1扫描测试步骤及第2扫描测试步骤的结果皆为正常时,将所述第2位移频率确定为第2扫描区段的可用位移频率。
在本发明至少一实施例中,第1扫描区段是第1扫描模式或者是第1扫描模式的一部分,第2扫描区段是第2扫描模式或者是第2扫描模式的一部分。
当搜寻第2扫描区段的可用位移频率时,位移频率搜寻部251、361通过将第2位移频率增加或减少至与移入至扫描路径的其他扫描区段中至少一扫描区段不同,或将第2位移频率设置为其他频率,从而搜寻第2扫描区段的位移频率。
虽然图2及3的IC芯片测试装置250、360包括在计算机主机200、300中,但是此结构仅为示例性。IC芯片测试装置250、360也可实施为具有处理器的另一计算机中,并与计算机主机200、300或测试主机210、310相连接以执行上述功能。
图2及3的测试系统仅作为有助于对本发明的理解的示例,可以将各组件可整合为一体型,或将一个整体组件分割为许多组件等,根据实施例的需求,可设计或修改为各种不同形式。
扫描模式作为位值模式,输入至所述扫描路径以执行所述扫描测试,或从所述扫描路径输出。
扫描模式的位值长度是需要执行单一扫描测试操作的位值模式的长度。例如,扫描模式的位值长度可与执行扫描撷取动作前的位移入扫描路径的位值模式的位值长度相同。另一例,扫描模式的位值长度可等于如扫描路径上的正反器等的位值储存组件(storage element)的数目。扫描模式的位值长度并不限于上述例示,可依据扫描测试电路设计成各种形式。
本发明的实施例不仅用于图1所示的芯片,还可运用于将一位值模式位移入扫描路径,及位移出所述扫描路径的各种形态芯片中。
例如,本发明的实施例可运用于各种形态芯片,其具有可执行将扫描模式位移入扫描路径,扫描撷取操作,及从所述扫描路径位移出所述撷取的位值模式的电路。
图4是图示本发明至少一实施例涉及的可用于芯片测试的扫描模式的示意图。
如图4所示,当移入操作与移出操作分别在扫描模式执行时,移入操作与移出操作可同时执行以减少所需时间。即,加载操作与载出操作同时执行。
例如,当输入模式K430经由扫描输入端口位移加载扫描路径时,输入模式K-1400的测试结果由扫描输出端口位同时移载出。此时,载出的输出模式与输入模式K-1400的预期模式K-1440进行比较。通常,输入模式K-1400的预期模式K-1440与输入模式K430可在测试数据或档案中配对管理。
在本发明至少一实施例中,为了通过将移入操作与移出操作重叠并执行扫描测试,配对管理经由扫描输入端口载入的输入模式K430与输入模式K-1400的预期模式K-1440。同样地,扫描模式可具有顺序。根据实施例,扫描模式可无序地以不同方式重新布置。
在本发明至少一实施例中,当第1扫描模式位移入扫描路径时,同时位移出的输出模式是无关系(don’t care)模式,或是重设测试目标芯片取得的扫描路径状态值。
作为最小化扫描测试时间的另一方法,可包括减少扫描测试的扫描模式总数目的方法,及增加扫描位移速度的方法。
此处,增加扫描位移速度是指提高移入与移出扫描模式的位移频率,或减少位移频率的周期。减少扫描位移速度是指减少移入与移出扫描模式的位移频率,或增加位移频率的周期。此外,优化扫描位移速度是指优化位移频率,或优化位移频率的周期。
增加或减少位移频率实质上与减少或增加位移频率的周期相同,因此,以后为了便于说明,最小化扫描测试时间的方法将从增加或减少位移频率的观点加以说明,因此,如无明确描述,增加或减少位移频率可被解释为减少或增加位移频率的周期,减少或增加频率的周期可被解释为增加或减少频率。位移频率的周期可简称为周期,或输入时钟的时钟周期。
图5-9是图示本发明至少一实施例涉及的测试数据分割方法的示意图,其将测试数据分割成一个或多个扫描区段,以最小化扫描测试时间。
如图5所示,经由分割位移入测试IC芯片扫描路径的测试数据500的位值模式成多个扫描区段510、512、514、516、518,搜寻每个扫描区段510、512、514、516、518的最佳位移频率,并将所搜寻的最佳位移频率用于扫描测试,可节省扫描测试时间。
在本发明至少一实施例中,测试数据500的位值模式可由如图6的多个扫描模式构成。
如图6所示,多个扫描模式可用于测试IC芯片。扫描区段可由至少一个扫描模式,或扫描模式的一部分构成。通过搜寻的每个扫描区段的最佳位移频率,并用于所述扫描测试,可进一步节省扫描测试时间。
在第一实施例中,扫描区段600由单一扫描模式构成,其具有和所述扫描模式一对一的对应。即,所述扫描模式和所述扫描区段相同。
在第二实施例中,扫描区段610包括二个扫描模式。扫描区段包括的扫描模式的数目根据实施例可进行各种变更。
在第三实施例中,扫描区段620可由第1扫描模式的部分,及第2扫描模式的部分构成。
在第四实施例中,扫描区段630可由扫描模式的部分构成。
在第五实施例中,扫描模式分配给二个扫描区段640及650。包括在扫描模式的扫描区段数目可根据实施例发生变化。
测试资料不仅可依据上述实施例610、620、630、640及650中任何的一个方法进行分割,而且还可结合上述实施例中两个以上方法进行分割。例如,如图6所示的包括N个扫描模式的测试数据可被分割成具有扫描模式的第1扫描区段600,具有二个扫描模式的第2扫描区段610,及具有部分扫描模式的第3及四扫描区段640及650。
如图7所示,在测试数据700的位值模式中以相同位值以连续方式重复的区段,可分为扫描区段702、704、706、708及710。如果将相同位值以连续方式移入扫描路径,则减少在扫描路径上的位值的切换动作,因而减少耗电,进而,具有相同值的连续位的扫描区段可分配到高位移频率。
例如,依据在测试数据700的位值模式的位值从1变0或从0变1的边界,测试数据700可分为一个以上的扫描区段702、704、706、708及710。此外,在位值模式的区段710中位值0或1连续时,M(M是整数)个位值组合可分成扫描区段720及722。
另一例,当测试数据的位值模式中具有相同且连续的位值的区段长度短于预定长度时,所述区段不分割为扫描区段,而是至少两扫描区段702及704可组合构成单一扫描区段703。
如图8所示,一扫描区段810可重新分为多个次扫描区段812及814(sub scansection)。例如,当扫描区段810具有在搜寻给扫描区段810及820最佳位移频率中的相对低的最佳位移频率时,扫描区段810可重新分为多个次扫描区段812及814,且再一次搜寻最佳位移频率给每个个次扫描区段812及814。
如图9所示,分割测试数据的扫描区段的数目,可根据考虑搜寻给测试资料900及910的每个扫描区段的最佳位移频率的预计时间确定(此后称的为预计时间)。如扫描区段的数目增加,搜寻给所有扫描区段的最佳位移频率的预计时间也会增加。所述预计时间可根据显示扫描区段数目和预计时间的预定数学式计算。
如图9所示的例子,当有时间A可用于搜寻最佳位移频率的时间限制时,确定N个扫描区段以分割测试数据900,使得预计时间不会超过时间A。当有时间B(A>B)可用于搜寻最佳位移频率的时间限制时,确定M(N>M)个扫描区段以分割测试数据910,使得预计时间不会超过时间B。
当分割测试数据900的扫描区段数目被确定为N时,分割测试数据900为N个扫描区段。例如,可使用将测试数据900分割为具有相同位值长度的N个扫描区段的方法,及如图7所示将具有相同且连续的位值的区间分为扫描区段并划分至当区间的数目为N为止的方法等各种方法。
下列信息可用于计算预计时间:
-用于搜寻最佳位移频率的起始频率;
-用于搜寻最佳位移频率的结束频率;
-用于搜寻最佳位移频率的频率增加量或减少单位;
-用于搜寻最佳位移频率的频率增加或减少的方法(以连续方式,二元搜寻方式等增加或减少频率);
-测试数据中含有的扫描模式数目(SPN);
-扫描模式的位值长度(SBL);
-分割测试数据为扫描区段的方法或基准(以预定位值长度的单位为基准分割,以预定数目为基准分割,以位值改变的边界为基准分割等);
-扫描区段数目(SSN);
-执行搜寻最佳位移频率方法的装置效率(如,处理器速度效率(CPU速度等),内存或硬盘等的容量及速度等)
-执行搜寻最佳位移频率方法的装置的数据输入/输出时间等被考虑的余量时间
在本发明至少一实施例中,假设使用在搜寻最佳位移频率时,从开始频率直至结束频率,以一定间隔依序增加的方法,则计算预计时间的公式可依据以下数学式。
[数学式1]
预计时间(T)=SSN*SPN*SBL*SFP*FN
此处,SSN是扫描区段数目,SPN是扫描模式数目,SBL是扫描模式的位值长度,SFP是位移频率周期,FN是增加位移频率的次数,以搜寻每个扫描区段的最佳位移频率。
数学式1中,当预计时间给定时,可确定满足所述数学式的扫描区段数目。
图10是图示本发明至少一实施例涉及的扫描区段数目与扫描测试时间减少率关系的曲线图。
如图10所示,测试数据的扫描区段数目可使用扫描区段数目及扫描测试时间减少率关系的信息而确定。随着具有最佳位移频率的扫描区段数目增加,使用所述测试数据的扫描测试时间减少率可能增加。
图10中,垂直轴代表扫描测试时间减少率,其为当使用每个扫描区段的最佳位移频率所需的扫描测试时间相对于整个数据使用常数位移频率所需的扫描测试时间的减少率。水平轴代表具有最佳位移频率的扫描区段数目。
随着分割测试数据的扫描区段数目增加,扫描区段的平均位值长度减少。随着扫描区段的平均位值长度减少,最佳位移频率增加,进而减少扫描测试时间。
上述分割测试数据的扫描区段的方法仅为例示说明,以加强对本发明的了解,因此本发明并不限于图5至10所示的方法。
图11是根据本发明的一些实施例,显示在每个测试区段分配位移频率以最小化芯片测试时间的示意图。
如图11所示,多个位移频率分配至每个扫描区段。习用扫描测试使用常数位移频率,所有测试数据的扫描模式经由此常数位移频率移入IC芯片的扫描路径,此常数位移频率被称为名义(nominal)位移频率。
通常,名义位移频率是当ATPG软件产生扫描模式的位移频率,或是从其调整的位移频率。名义位移频率是常数位移频率,其允许测试IC芯片的所有扫描模式被正常位移入IC芯片的扫描路径,其是相对低的频率(例如约5Mhz)。
因此,当此名义位移频率在构成测试数据的数千至数万个扫描模式中使用时,其需要一可观时间以执行扫描测试,特别对大量生产的IC芯片进行测试时,成本与上市时间受到极大影响。例如,假设测试一个IC芯片需花2秒,则依序测试一千万个IC芯片则需约5,556小时,即约231天。既使使用昂贵的测试设备同时测试多个芯片,还是需要一可观的测试时间。一般地,IC芯片测试服务公司是根据所使用的测试装置数目及测试时间开账单,因此,芯片测试时间对芯片成本产生很大的影响。
由此,增加此名义位移频率,则移入或移出扫描模式时所产生的耗电量可能超出所述IC芯片耗电量的容许值,从而无法执行测试。此外,由于过大的位移频率产生的临界路径延迟时间问题,电源噪声影响加重,信号线间干扰影响加重等可能发生将正常芯片认定为有瑕疵的过度错误(overkill)的问题。这可能会影响大量生产的IC芯片的良率及成本。
因此,在本发明的实施例中,将扫描区段正常移入扫描路径的最佳位移频率分配至每个扫描区段,而不是将如名义位移频率的常数位移频率给整个扫描模式。搜寻最佳位移频率给每个扫描区段的过程将参考图12及后续图示进行详细说明。最佳位移频率是可使用于对应扫描区段的最大位移频率或小于最大位移频率的位移频率。
如图11所示的例子中,位移频率A分配至扫描区段1,及位移频率B分配至扫描区段2。另外,与扫描区段1相同的所述位移频率A分配至扫描区段3。同样地,每个扫描区段可被分配和其他扫描区段相同或不同的位移频率。
例如,当单一扫描模式分成多个扫描区段时,多个位移频率可被分配至所述单一扫描模式。参考图6,包括在单一扫描模式的扫描区段640及650被分配予相互不同的位移频率。即,两个位移频率被分配予一个单一扫描模式上。
根据实施例,个别分配予位移频率的一些扫描区段可被分组在一起。例如,第2及第3扫描区段被分组成区段组,及等于或小于位移频率A及B的较小者的位移频率被分配予此对应区段组。
在扫描测试程序中,在施予主要测试数据至所述主输入端口及输入测试模式至扫描路径后,主输出端口的测试结果观察可应用或不应用于下列实施例的芯片测试程序中。
图12是图示本发明至少一实施例涉及的用以最小化芯片测试时间的搜寻位移频率方法的示意图。
首先,说明输入模式,扫描区段,扫描模式及输出模式间的关系。
输入模式1202、1204及1206是输入至扫描路径1210的位值模式。在图12中,作为当前确定位移频率的目标扫描区段K,与输入模式K1204一对一地对应。输入模式K1204包括用以搜寻或确定所述最佳位移频率的扫描区段K(此后成为目标扫描区段K),在输入模式K1204前或后的位值模式可被称为目标扫描区段的辅助扫描区段,或辅助扫描模式。
(当扫描区段与扫描模式一对一相对应时的输入模式)
当目标扫描区段K1204与扫描模式M一对一相对应时,输入模式K-11202,输入模式K1204及输入模式K+11206可和扫描模式M-1,扫描模式M及扫描模式M+1分别一对一相对应。
(当扫描区段与扫描模式一对一相对应时的输出模式K)
当目标扫描区段K1204与扫描模式M一对一相对应时,目标扫描区段K1204的扫描路径1210的输出模式对应扫描模式M的扫描路径1210的输出模式K1224。输出模式K1224是目标扫描区段K1204的扫描撷取结果模式,或可以是从扫描路径输出的扫描模式M取得的模式。
(当扫描区段与一扫描模式一对一相对应时的输出模式K-1)
当目标扫描区段K1204与扫描模式M一对一相对应时,针对输入模式K-11202的扫描路径的输出模式与针对扫描模式M-1的扫描路径的输出模式K-11222对应。输出模式K-11222是扫描模式M-1的扫描撷取结果模式,或是从所述扫描路径输出扫描模式M-1取得的模式。
(当扫描区段与一扫描模式、一对一相对应时的输出模式K+1)
当目标扫描区段K1204与扫描模式M一对一相对应时,输入模式K+11206的扫描路径的输出模式对应扫描模式M+1的扫描路径的输出模式K+1。输出模式K+1是扫描模式M+1的扫描撷取结果模式,或从所述扫描路径输出扫描模式M+1取得的模式。
(当扫描区段是扫描模式的一部份时的输入模式K-1及K+1)
例如,如图14所示,当目标扫描区段K1204是扫描模式M的一部分时,输入模式K-11201可包括扫描模式M-1及扫描区段K1204以外的扫描模式M的一部分。输入模式K+11206可包括所述扫描模式M+1及扫描区段K1204以外的扫描模式M的一部分。
(当扫描区段是扫描模式的一部份时的输出模式K)
如图14所示,当目标扫描区段K1204是扫描模式M的一部分时,目标扫描区段K1204扫描路径的输出模式K1224是目标扫描区段K1204的扫描撷取结果模式,或包括扫描区段K的扫描模式M的扫描撷取结果模式。另一方式,输出模式K1224是从所述扫描路径上输出的扫描区段K1204所取得的模式,或从所述扫描路径上包括所述扫描区段K1204的扫描模式M输出所取得的模式。
(当扫描区段是扫描模式的一部份时的输出模式K-1及K+1)
如图14所示,当目标扫描区段K1204是扫描模式M的一部分时,输入模式K-11202扫描路径的输出模式K-11222是扫描模式M-1的输出模式,或是扫描模式M-1及扫描模式M的一部分的输出模式。输入模式K+11206扫描路径的输出模式K+1是扫描模式M+1的输出模式,或是扫描模式M+1及扫描模式M的一部分的输出模式。另一例子,包括在输入模式K-11202或输入模式K+11206的扫描模式M的部分的扫描路径的输出模式可被反射在包括目标扫描区段K1204的扫描模式M路径的输出模式。另一例子,输入模式K-11202或输入模式K+11206的输出模式是从扫描路径输出的输入模式K-11202或K+11206取得的模式。
(当扫描区段延伸过多个扫描模式时)
例如,如图15所示,目标扫描区段K1204可延伸过多个扫描模式。在此情况,输入模式K-11202可包括除目标扫描区段K1204的外的扫描模式M-1的一部分,输入模式K+11206可包括除目标扫描区段K1204的外的扫描模式M+1的一部分。在此情况,分别找出最佳位移频率给延伸过多个扫描模式的目标扫描区段K1204的每个部分,以确定可分配给目标扫描区段K1204的最佳位移频率。
上述描述仅是一些用于加强对本发明了解的例子,因此本发明并不限于上述描述的例子。此外,扫描模式可分成如图5至10所示的各种不同型态的扫描区段,且输入模式K,K-1及K+1的形式可依据扫描区段分割的形式变化。即,输入模式K1202或输入模式K+11206可包括至少一个扫描区段。
图12是说明当移入操作与移出操作是以如图4所示的重叠方式执行,以最小化芯片测试时间的示例方法。图12所示的例子是用以举例说明本发明,因此本发明并不限于如图4所示的同时执行移入操作与移出操作的情况。
在扫描测试IC芯片时,通过比较输入模式1200的测试结果模式1220与预期模式1230,确定测试的正常与否。即,确定测试的常与否是将输入模式1200加载到扫描路径1210上后,载出由撷取操作取得的测试结果模式1220,或载出无撷取操作的所述输入模式,并比较预期模式1230及载出测试结果模式1220。
在本发明至少一实施例中,为优化扫描模式或扫描区段的位移频率,当目标扫描模式或目标扫描区段位移入所述扫描路径时,也须确认从所述扫描路径同时(或依序)移出的输出模式是否正常与否。例如,即使所述目标扫描模式或目标扫描区段正常地以增加的位移频率移入所述扫描路径,所述增加的位移频率也可能使从前一个输入模式移出的测试结果模式造成错误。
在图12所示的例子中,输入模式K-11202及输入模式K+11206可被用于确认当前位移频率的确定目标扫描区段K1204是否正常地以一特定位移频率移入所述扫描路径。即,在每次将目标扫描区段K1204反复输入扫描路径1210前,可使用输入模式K-11202,以一预定位值模式初始化扫描路径。此外,当每次第K个扫描区段K1204的扫描路径输出模式被反复从扫描路径移出时,可使用输入模式K+11206,以预定位值模式移入扫描路径。
当目标扫描区段K1204与扫描模式M一对一对应时,输入模式K-11202是用于实际扫描测试的扫描模式M-1,其位于目标扫描区段K1204之前,或是在加载扫描模式M-1至所述扫描路径后,由撷取操作取得的测试结果模式的预期模式。
另一例中,当目标扫描区段K1204是如图14所示的扫描模式M的一部分时,输入模式K-11202包括用于实际扫描测试的扫描模式M-1,其位于目标扫描区段K1204的前,或是在加载扫描模式M-1至扫描路径后,由撷取操作取得的测试结果模式的预期模式。此外,输入模式K-11202包括除目标扫描区段K1204以外的扫描模式M的部分。此处,除目标扫描区段K以外的扫描模式M的部分是用于实际扫描测试的位值模式的一部份。
另一例中,输入模式K-11202也可以是主要由位“0”或“1”构成,或由连续位值“0”或“1”构成的预定任意模式,以减少在扫描路径或相似的切换动作。
另一例中,输入模式K-11202可包括至少一如图13所示的扫描区段。
当目标扫描区段K1204与扫描模式M一对一对应时,第K+1个输入模式1206是用于实际扫描测试的扫描模式M+1,其位于目标扫描区段K1204的前,或是在加载扫描模式M+1至所述扫描路径后,由撷取操作取得的测试结果模式的预期模式。
另一例中,当目标扫描区段K1204是如图14所示的用于实际扫描测试的扫描模式M的一部分时,输入模式K+11206可包括用于实际扫描测试的扫描模式M+1等,其位于目标扫描区段K1204的后。此外,输入模式K+11206可包括除目标扫描区段K1204以外的扫描模式M的一部分。此处,除目标扫描区段K1204以外的一部分是用于实际扫描测试的位值模式的一部份。
再另一例子,输入模式K+11206可以是主要由位“0”或“1”构成,或由连续位值“0”或“1”构成的预定任意模式,以减少在扫描路径或相似的切换动作。
另一例中,输入模式K+11206可包括至少一如图13所示的扫描区段。
在扫描测试中,分别位在第1个扫描区段的前和最后一个扫描区段的后的输入模式可以是主要由位“0”或“1”构成,或由连续位值“0”或“1”构成的预定任意模式,以减少在扫描路径或相似的切换动作。此外,位在第1个扫描区段的前的输入模式可以是测试目标芯片处于重设状态(reset state)时的在扫描路径上的数值。
在本发明至少一实施例中,输入模式K-11202及输入模式K+11206可分别包括至少一个扫描区段,及所述扫描区段的位移频率可以不限制搜寻目标扫描区段K1204的最大位移频率,目标扫描区段K1204是当前位移频率寻找目标。
例如,假设输入模式K-11202是以最大至30MHz的位移频率正常为移入所述扫描路径,而目标扫描区段K1204是以最大至50MHz的位移频率正常为移入所述扫描路径。当增加位移频率的同时,输入模式K-11202与目标扫描区段K1204是以相同位移频率依序移入扫描路径时,则目标扫描区段K1204可搜寻的最大位移频率限制在30MHz。即,当位移频率超出30MHz时,输入模式K-11202的输出模式与预期模式可能不相同。此外,即使输入模式K+11206以最大至30MHz的位移频率正常地移入所述扫描路径,目标扫描区段K1204可搜寻的最大位移频率也限制在30MHz。
因此,为避免此限制,在本发明至少一实施例中,输入模式K-11202或输入模式K+11206的位移频率被设成不超出预定位移频率(如上述例子,30MHz)。
例如,通过固定输入模式K-11202及输入模式K+11206的位移频率在预定位移频率(如上述例子,30MHz或更少)上,并只增加用于目标扫描区段K1204的位移频率,,便可搜寻可用于目标扫描区段K1204的最大位移频率。
另一例中,输入模式K-11202,目标扫描区段K1204及输入模式K+11206的位移频率是同时增减至预定位移频率(如上述例子,30MHz),及当超出预定位移频率范围时,只有目标扫描区段K1204的位移频率可被增加。
换而言之,目标扫描区段K1204与输入模式K-11202及输入模式K+11206的位移频率可被控制成不同。当输入模式K-11202及输入模式K+11206的最大容许位移频率高于目标扫描区段K1204的最大位移频率时,目标扫描区段K1204与其他扫描模式1202及1206的位移频率可增减至相同。此处,预定位移频率可根据实施例具有各种改变,包括名义位移频率,名义位移频率的调整值,由软件默认的测试装置的默认值,用户设定的默认值等,但不受限于上述例子。
在本发明至少一实施例中,当根据本发明的一实施例涉及的方法已决并用于输入模式K-11202及输入模式K+11206的最佳位移频率时,输入模式K-11202及输入模式K+11206可以用等于或小于所述最佳位移频率的位移频率位移入扫描路径。
例如,将本发明涉及的方法依序应用于扫描模式时,在确定目标扫描区段K1204的位移频率前,构成输入模式K-1的至少一扫描区段的最佳位移频率可事先确定。因此,测试时间最小化的装置使用最佳位移频率于每个输入模式K-11202的扫描区段,并将名义位移频率,或调整名义位移频率所取得的位移频率用于输入模式K+11206。
此外,当增减目标扫描区段K的位移频率,并依序输入输入模式1202、1204及1206至扫描路径1210,并判断实际输出模式1220与预期模式1230是否相同。此时,如有必要,可对输入模式1202、1204及1206中至少一个执行扫描撷取操作。
例如,扫描测试时间最小化的装置使用名义位移频率为其起始位移频率,以事先设定的预定频率变动单位增减位移频率。即,以预定位移频率,如名义位移频率,加载输入模式K-11202至扫描路径后,以“起始位移频率+频率增加单位”的位移频率将目标扫描区段K1204位移入扫描路径,同时移出输入模式K-11202的测试结果(即,输出模式K-11222),并将所述测试结果与已知预期模式K-11232进行比较。
在此,输入模式K-11202或包括在输入模式K-11202的至少一个扫描区段的预定位移频率可和目标扫描区段K1204的起始位移频率不同。此外,重新位移入输入模式K+11206的同时,位移出目标扫描区段K1204的测试结果并获得输出模式K1224,并将输出模式K1224与已知预期模式K1234进行比较。在此,当目标扫描区段K1204是如图14所示的扫描模式M的一部分时,输入模式K-11202,目标扫描区段K1204及输入模式K+11206与它们的输出模式与上述者相似。
在本发明至少一实施例中,上述的预定位移频率被设成并不限制搜寻目标扫描区段K1204的最大位移频率。在本发明至少一实施例中,输入模式K-11202或输入模式K+11206的位移频率被设成并不和目标扫描区段K1204的位移频率一同增减,或被设成和目标扫描区段K1204的位移频率不同,此时,可使用能够将输入模式K-11202或输入模式K+11206正常输入扫描路径的位移频率。
在本发明至少一实施例中,预定位移频率可根据实施例具有各种变形,包括名义位移频率,名义位移频率的调整值,由软件默认的测试装置的默认值,用户设定的默认值等,但不受限于上述例子。
当输出模式K-11222与预期模式K-11232相同,及输出模式K1224与预期模式K1234相同时,当前的位移频率是目标扫描区段K1204的可用位移频率。扫描测试时间最小化的装置以一定量再一次增加目标扫描区段K1204的位移频率,重新执行如上的从输入模式K-11202输入式至扫描路径的步骤,及再一次将输出模式1220与预期模式1230进行比较。
如上所述,重复增加目标扫描区段K1204的位移频率,直到输出模式1220与预期模式1230不同的时间点,等于或小于所述时间点的前的位移频率被确定是目标扫描区段K1204的最佳位移频率。
虽然在上述一些实施例说明以增加位移频率以搜寻所述目标扫描区段的最佳位移频率,在另一些实施例,将位移频率从目标扫描区段K1204的输出模式1220与预期模式1230相互不同的高位移频率,以重复方式减少位移频率直到输出模式1220与预期模式1230相同。当输出模式1220与预期模式1230变成相同的时间点时,等于或小于所述时间点的位移频率被确定为目标扫描区段K1204的最佳位移频率。
此外,作为增减位移频率范围的一实施例,其在增减位移频率的过程中,反复比较扫描区段或扫描模式的输出模式和预期模式,其在扫描测试时间最小化的装置设定的范围内增减,或当找到输出模式1220与预期模式1230的比较结果由相同变为不同,或由不同变为相同的时间点时,可停止增减位移频率。这种情况下,可节省用以搜寻每个扫描区段的可用最大位移频率的所需时间。
根据实施例,用于搜寻目标扫描区段K1204的最佳位移频率的起始位移频率可采用各种不同值,包括所述名义位移频率。此外,不是从低位移频率增加而是从输出模式与预期模式相互不同的高位移频率开始,在减少的过程中,搜寻输出模式与所述预期模式相同的时间点的位移频率。此外,目标扫描区段K1204的位移频率可以用各种算法方法改变而非以依序增加或减少,以缩短搜寻最佳位移频率的时间。
在本发明至少一实施例中,可使用二元搜寻算法。例如,位移频率为10MHz时测试正常,而位移频率为20MHz时测试失败时,则下一个位移频率设为15MHz。当位移频率为15MHz时测试正常,则下一个位移频率设为15MHz及20MHz的中间值;及当测试结果是失败时,下一个位移频率设为10MHz及15MHz的中间值。当测试结果为是正常时,则测试目标芯片被认定为良品,当测试结果为是失败时,测试目标芯片被认定为不良品。
与线性搜寻法进行比较,二元搜寻算法具有能够缩短搜寻作为测试正常与失败的边界的频率的时间,或搜寻测试正常的可用频率范围的时间的效果。例如,线性搜寻法如果以N次增加或减少位移频率可以搜寻测试结果为正常的最大位移频率,二元搜寻算法则可用log2(N)次增加或减少位移频率以搜寻最大位移频率。使用二元搜寻算法缩短搜寻测试正常的最大频率的时间效果,随着因扫描区段的总数目和测试设备而增减的频率单位的减少,比所述线性搜寻法更有效率。
在另一些实施例中,搜寻最佳位移频率或最佳周期需考虑供给测试目标芯片的电压变化余量。例如,最佳位移频率或最佳周期的较短时间可以下列步骤搜寻。
步骤1:
用以最小化扫描测试时间的装置,在一预定电压范围内以一定单位改变供给测试目标芯片的电压,并按照每个电压搜寻测试数据的测试结果是正常的最大位移频率或位移频率范围。即,搜寻可用于整个测试数据的最大位移频率或位移频率的一范围,而非搜寻分割测试数据所取得的每个扫描区段的一位移频率。
步骤2:
基于步骤1的结果,用以最小化扫描测试时间的装置选取供给所述测试目标芯片的一特定电压。在此,供给所述测试目标芯片的一特定电压是对应至步骤1中搜寻到的每个电压的最大位移频率中的显示最大位移频率的最小电压或接近该电压的电压。此外,供给所述测试目标芯片的一特定电压可考虑测试安排,制造程序(manufacturing process),测试流程(test process)等进行选取。
步骤3:
用于最小化扫描测试时间的装置将从步骤2的结果中选取的特定电压向测试目标芯片提供。而且,用于最小化扫描测试时间的装置在已提供了特定电压的状态下,在增加或减少每个扫描区段的位移频率的同时,确定每个扫描区段的每个位移频率的测试结果是正常或失败。
步骤4:
用于最小化扫描测试时间的装置通过使用从步骤3获取的每个扫描区段的测试正常或失败的测试结果对应的位移频率信息,搜寻或确定每个扫描区段的最佳位移频率。
步骤5:
用于最小化扫描测试时间的装置在改变供给测试目标芯片的电压的同时,使用从步骤4搜寻或确定的每个扫描区段的最佳位移频率并确认测试结果是正常或失败。
在本发明至少一实施例中,在步骤5中电压的变更范围和步骤1中电压的变更范围相同。此外,步骤5的电压变更范围可以是考虑测试安排,制造程序,测试流程等,并调整步骤1取得的电压范围。所述装置在改变供给所述测试目标芯片的电压的同时,使用从步骤4搜寻或确定的每个扫描区段的最佳位移频率确认测试结果是正常或失败。当在电压范围内,所有扫描区段的测试结果是正常时,最佳位移频率成功地被确认。此外,不同的参数可用以确定所述位移频率对每个扫描区段是否是优化,考虑测试安排,制造程序(manufacturing process),测试流程(test process)等。例如,在一特定电压时,还可接受测试失败。
当通过考虑供给测试目标芯片的电压变化余量,以搜寻最佳位移频率时,使用上述步骤的方法而非改变所有扫描区段的频率与电压的方法,可允许所述最佳位移频率或周期被以较短时间搜寻或确定。
例如,假设SN(扫描区段数目)=1,000,VN(改变电压次数)=10,FN(改变位移频率次数)=10。
情况1:
确定,在改变所有扫描区段的电压及频率同时,用于找出测试结果是正常或失败的必要搜寻次数等于SNxVNxFN=100,000。
情况2:
使用步骤1-5以找出测试结果是正常或是失败的搜寻的次数等于(Step1)VNxFN+(Step3)SNxFN+(Step5)VN=(VN+SN)xFN+VN=10,110。
情况2的搜寻次数相比于情况1的搜寻次数减少了10%。
用以搜寻最佳位移频率的扫描区段K1204也可以由如图14所示的扫描模式M的一部分构成。即,目标扫描区段K1204的长度可以比扫描路径短。在这种情况下,除目标扫描区段K1204以外的扫描模式M的一部分的位移频率可被设成不限制目标扫描区段K1204的最佳位移频率的搜寻。
例如,在扫描模式M,非目标扫描区段K1204的部分的位移频率不随着目标扫描区段K1204的位移频率一同增减,或使用不同于目标扫描区段K1204的位移频率。在本发明至少一些实施例中,扫描模式M中的非目标扫描区段K1204的部分的位移频率可使用位移频率,其可使非目标扫描区段K1204的部分正常地输入所述扫描路径。
在另一些实施例中,给予扫描模式M中的非目标扫描区段K1204的部分的位移频率是等于或小于名义位移频率的位移频率。当使用根据本发明的一些实施例中的方法,已经确定所述最佳位移频率给扫描模式M中的非目标扫描区段K1204的部分,等于或小于所述最佳位移频率的预定位移频率可被使用成扫描模式M中的非目标扫描区段K1204的部分的位移频率。对于目标扫描区段K1204,可由上述方式增减位移频率以搜寻其最佳位移频率。所述预定位移频率并不限于,如名义位移频率的调整值,由软件默认的测试装置的一默认值,用户设定的默认值等,但可根据实施例进行各种变化。
图12揭示一起使用输入模式K-11202搜寻目标扫描区段K1204的最佳位移频率的方法,然而,本发明并不限于这种方案。根据实施例,将目标扫描区段K1204或包括目标扫描区段K1204的扫描模式的扫描路径输出模式与预期模式进行比较,以搜寻或确定最佳位移频率。
(考虑先前输入模式的输出模式与预期模式的进行比较)
在本发明至少一实施例中,当搜寻或确定目标扫描区段K1204的最佳位移频率时,在目标扫描区段K1204前的输入模式K-11202的输出模式,或包括目标扫描区段K1204的扫描模式前的扫描模式的输出模式,也和相对应的预期模式进行比较。
例如,当目标扫描区段K1204的输出模式与相对应的预期模式相同,及输入模式K-11202的输出模式与相对应的预期模式相同时,用以位移入目标扫描区段K1204至所述扫描路径的位移频率被认定为目标扫描区段K1204的可用位移频率。
在另一些实施例中,当目标扫描区段K1204可以是如图14所示的扫描模式M的一部分的情况下,包括目标扫描区段K1204的扫描模式M的扫描路径的输出模式K1224与预期模式K1234相同,及在扫描模式M前的扫描模式M-1的扫描路径的输出模式K-11222与预期模式K-11232相同时,用以位移入目标扫描区段K1204至所述扫描路径的位移频率被认定为是目标扫描区段K1204的可用位移频率。
将目标扫描区段K1204前的输入模式K-11202的输出模式K-11222和预期模式K-11232进行比较,及将目标扫描区段K1204的输出模式K1224和预期模式K1234进行比较的理由是因为,在目标扫描区段K1204前的输入模式(或输入模式的一部份)的扫描路径的输出模式可受目标扫描区段K1204的位移入频率的影响。此处,输入模式的移出的输出模式可以是,在输入目标扫描区段K1204前的输入模式(或输入模式的一部份)至所述扫描路径后,经由扫描撷取操作获取的模式,或不经由扫描撷取操作从扫描路径输出的模式。
图16是图示本发明至少一实施例涉及的搜寻扫描模式的可用位移频率方法例子的曲线图。图17是图示本发明至少一实施例涉及的当增加或减少欲搜寻最佳位移频率的测试模式的位移频率时,其他测试模式的测试结果为失败时的曲线图。
参照图6,第1扫描模式,第2扫描模式及第3扫描模式被依序输入所述扫描路径,以搜寻第2扫描模式的最佳位移频率。在本发明至少一实施例中,第1扫描模式正常输入所述扫描路径的位移频率(如5MHz)被用以位移入所述第1扫描模式。换而言之,允许第1扫描模式的测试结果为正常的位移频率被用以位移入所述第1扫描模式。
当第2扫描模式的位移频率以5MHz的增加量依序从5MHz增加至25MHz时,第1扫描模式及第2扫描模式的测试结果皆为正常。这种情况下,等于或小于25MHz的位移频率则是为所述第2扫描模式的可用位移频率。
参照图17,当第2扫描模式的位移频率增加至30MHz时,第2扫描模式的测试结果为正常,而第1扫描模式的测试结果为失败。那是因为第1扫描模式位移出的测试结果受第2扫描模式的位移频率的影响。因此,在本发明至少一实施例中,当搜寻最佳位移频率的第2扫描模式,及第2扫描模式的前的第1扫描模式的测试结果皆为正常时,位移频率被认定为是第2扫描区段的可用位移频率。
欲搜寻最佳位移频率的扫描区段可为扫描模式的一部份。这种情况下,如前所述,当包括搜寻最佳位移频率的目标扫描区段的第2扫描模式,及第1扫描模式的测试结果皆为正常时,位移频率被认定为是目标扫描区段的可用位移频率。除去目标扫描区段的位值模式可使用能够允许位值模式正常地输入至扫描路径的位移频率。
第3扫描模式中使用,可正常地输入至所述扫描路径的同时,可正常地输出第2扫描模式的测试结果的位移频率。
当将相邻第1扫描区段与第2扫描区段依序输入至芯片的扫描路径,以搜寻扫描区段的最佳位移频率或者以减少芯片量产的测试时间时,可将第1扫描区段的位移频率设定为与第2扫描区段的位移频率不相同,以执行扫描测试。例如,分别用于两扫描区段的互不相同的位移频率可分别等于或小于使用两扫描区段的测试结果为正常的位移频率。
对于相邻第1扫描区段与第2扫描区段,可设定第2扫描区段的位移频率高于或低在第1扫描区段的位移频率,以执行所述扫描测试。分别等于或小于使用所述两扫描区段的无错误芯片(fault-free)的测试结果为正常的位移频率,可用以减少所述芯片大量制造的测试时间。即,在执行扫描测试时,相邻扫描区段间的相互影响应加以考考虑。
例如,当第1扫描区段与第2扫描区段是相邻的扫描模式,第1扫描区段的扫描撷取结果位移出时,接续输入的第2扫描区段的位移频率影响应加以考虑。例如,当扫描撷取结果模式位移出时,结果模式的位值可能根据所述位移频率被改变。
在另一些实施例中,当第1扫描区段与第2扫描区段是相邻且包括在单一扫描模式中,第1扫描区段的扫描撷取结果位移出时,接续输入的第2扫描区段的位移频率影响应加以考虑。例如,位移入所述扫描路径的第1扫描区段的位值可能被第2扫描区段的位移频率改变。
在另一些实施例中,当在包括第1扫描区段和第2扫描区段的第2扫描模式的前,位移入的第1扫描模式的扫描撷取结果被位移出时,第2扫描模式中包括的第1扫描区段及第2扫描区段的影响应加以考虑。如不考虑,当执行大量制造的测试时,无错误(fault-free)芯片的扫描测试结果可能变为失败。
(搜寻目标扫描区段的最佳位移频率时,应考虑在其前或后的输入模式的输出结果)
搜寻或确定所述目标扫描区段的最佳位移频率时,不只所述目标扫描区段的输入模式,且在所述目标扫描区段前或后的输入模式的输出模式,皆须与对应的预期模式相互比较,以判定无瑕疵晶体电路芯片扫描测试结果是否真正是正常。
在本发明至少一实施例中,以上述流程增加或减少位移频率,搜寻或确定所述目标扫描区段的最佳位移频率。此时,让测试结果正常的位移频率是所述目标扫描区段的可用位移频率。所述目标扫描区段的扫描路径输出模式是由将所述目标扫描区段加载扫描路径后执行扫描撷取所获得的模式,或将所述目标扫描区段或包括所述目标扫描区段的扫描模式不执行扫描撷取而从扫描路径取得的模式。
(在搜寻最佳位移频率的目标扫描区段后输入的输入模式的输出结果应被考虑)
目标扫描区段后的扫描模式或包括目标扫描区段1204的扫描模式后的扫描模式的扫描路径的输出模式可另与对应的预期模式相互比较,以搜寻或判定所述目标扫描区段的最佳位移频率。
例如,为搜寻或确定所述目标扫描区段的最佳位移频率,从所述扫描路径移出的所述目标扫描区段的输出模式可影响在所述目标扫描区段后移入的输入模式的位值。另一例中,为搜寻或确定所述目标扫描区段的最佳位移频率,从所述扫描路径移出的包括所述目标扫描区段的扫描模式的输出模式可影响位于包括所述目标扫描区段的扫描模式的后的被移入的扫描模式的位值。
(下列样输入式会影响搜寻最佳位移频率的目标扫描区段的情况)
当目标扫描区段或包括所述目标扫描区段的扫描模式的扫描路径输出模式被移出时,位移后面移入的输入模式可影响在所述目标扫描区段的输出模式的位值。
(考虑下列输入模式的位移频率)
为减少或除去输入模式(或扫描模式)的影响,当移出所述目标扫描区段扫描路径的输出模式,或移出包括所述目标扫描区段的扫描模式时,所述目标扫描区段正常移入扫描路径后允许所述输入模式(或扫描模式)移入的位移频率,可被使用为在所述目标扫描区段或包括所述目标扫描区段的扫描模式后的所述输入模式(或扫描模式)的位移频率。
(考虑目标扫描区段其前或后的输入模式的位移频率)
为搜寻或确定所述目标扫描区段的最佳位移频率,与所述目标扫描区段相同位移频率或不同位移频率的位移频率可被使用为所述目标扫描区段其前或后的输入模式(或输入模式的一部分)的位移频率。在本发明至少一实施例中,在所述目标扫描区段前后,允许所述输入模式正常移入扫描路径的位移频率,可被使用为在所述输入模式的位移频率。
如上所述,这是因为在欲查找最大位移频率的目标扫描区段前后的输入模式可能限制所述目标扫描区的最大可用位移频率。例如,在所述目标扫描区段前后的输入模式的最大可用位移频率可能小于所述目标扫描区的最大可用位移频率。
图18-20是图示本发明至少一实施例涉及的用于搜寻最佳位移频率的扫描模式、扫描区段及位移频率信息的组成的例子的示意图。
参照图18,是欲搜寻可正常测试芯片的、可用的位移频率或最佳位移频率的扫描区段作为扫描模式的情况。测试数据1800的扫描模式N+1,扫描模式N+2及扫描模式N+3是分别用于搜寻可用位移频率及最佳位移频率的扫描区段。图18其显示需要用以搜寻或判定每个扫描模式N+1,扫描模式N+2及扫描模式N+3的可用位移频率或最佳位移频率的扫描模式,扫描区段及位移频率信息的组成。
在本发明至少一实施例中,图18中,T1、T2、T3及目标_T表示有关扫描位移频率或扫描位移频率的周期的信息,为了便于说明,将其称为时间辨识标(timing identifiers),时间组,或时间信息。
在本发明至少一实施例中,时间信息是有关位移频率或周期的信息,其包括或代表位移频率或周期。时间信息可用以辨识或控制扫描模式,或扫描区段。例如,测试装置可增减由时间信息辨识的扫描模式或扫描区段的位移频率或位移频率的周期。
图18中,T1是有关扫描模式N的位移频率或周期的信息,其称为扫描模式N的时间信息(timing information of the scan pattern N)。图18中,扫描模式N+1的目标_T是有关扫描模式N+1的位移频率或周期的信息,其可搜寻可用位移频率或最佳位移频率,其称为扫描模式N+1的时间信息(timing information of the scan pattern N+1)。即,图18中,T1、T2及T3标示在扫描区段前后,搜寻最佳位移频率的有关扫描模式的时间信息,及目标_T标示已优化位移频率扫描区段的时间信息。
图18中,至少目标_T、T1、T2及T3的两个可使用相同或不同的位移频率或位移频率的周期。
参照图18,T1、T2及T3位移频率或位移频率的周期的信息可使用位移频率或位移频率的周期,其能够使对应T1、T2及T3的扫描模式或扫描区段正常输入在扫描路径。此时,搜寻最佳值,可增减对应至目标_T的位移频率或位移频率的周期,。并不限于图18的例示,一个或多个位移频率,位移频率的周期或时间信息可以不同方式被分配给,或被使用于单一扫描模式。
在本发明至少一实施例中,用以搜寻一扫描区段的可用位移频率或最佳位移频率的搜寻数据1810、1820及1830可包括如图18所示的至少两个扫描模式。
用以搜寻扫描模式N+1的可用位移频率或最大可用位移频率的搜寻数据1810包括至少扫描模式N+1,及扫描模式N+1前的扫描模式N。例如,包括在搜寻数据1810、1820及1830的扫描区段或扫描模式可被重复的输入至扫描路径,以搜寻一特定扫描区段的可用位移频率或最大可用位移频率。
根据使用包括在搜寻数据1810、1820及1830的两个或多个扫描模式的芯片扫描测试输出模式,判定每个扫描模式的测试是正常或是失败。例如,输出模式可与对应的预期模式比较,且预期模式被包括在搜寻数据1810、1820及1830中管理。换而言之,搜寻数据1810、1820及1830可包括预期模式其对应至扫描模式的输出模式,所述扫描模式包括目标扫描区段及具有所述目标扫描区段扫描模式的前的扫描模式。所述扫描区段的可用位移频率或最大可用位移频率接着根据测试结果被搜寻。例如,对应目标_T的扫描模式N+1的可用位移频率或最大可用位移频率可被搜寻。
为了搜寻扫描模式N+1的可用位移频率或最大可用位移频率,使用扫描模式N+1,及扫描模式N+1前的扫描模式N以执行扫描测试。这种情况下,根据扫描模式N+1及扫描模式N的芯片扫描测试输出模式判定测试是正常或是失败。因此,可搜寻扫描模式N+1的可用位移频率或最大可用位移频率。允许扫描模式N+1及扫描模式N+1前的扫描模式N两者的扫描测试结果皆为正常的位移频率是扫描模式N+1的可用位移频率。
参照图19,其用以搜寻可用位移频率或最佳位移频率的扫描区段是扫描模式。为搜寻所述扫描区段的可用位移频率或最佳位移频率,至少使用三个扫描模式,包括目标扫描区段,所述目标扫描区段前后的扫描模式。
例如,用以搜寻所述扫描区段的可用位移频率或最佳位移频率的搜寻数据1810、1820及1830包括如图19所示的至少三个扫描模式。用以搜寻扫描区段的可用位移频率或最佳位移频率,包括在搜寻数据1810、1820及1830的扫描模式或扫描区段可重复地被输入至所述扫描路径。根据包括在搜寻资料1810、1820及1830的扫描模式的输出模式与预期模式进行比较,判定IC芯片的测试是否为正常或失败。根据测试结果,可用位移频率可用于搜寻所述扫描区段的最佳位移频率。
为了搜寻搜寻数据1910中的扫描模式N+1的可用位移频率或最佳位移频率,使用扫描模式N+1及扫描模式N+1前的扫描模式N执行扫描测试。允许测试结果为正常的位移频率,是扫描模式N+1的可用位移频率。这种情况下,在扫描模式N+1后的扫描模式N+2,使用可正常移入扫描模式N+2的扫描路径的位移频率,扫描模式N+2的芯片测试可被省略。此外,允许扫描模式N+2的芯片测试正常的位移频率可被设定为扫描模式N+2的可用位移频率。
参照图19,目标_T、T1、T2、T3、T4、T5及T6中至少两个时间信息可以是相同位移频率或位移频率的周期,或者是相互不同的位移频率。位移频率的周期是以位移频率移入扫描模式位移操作的时间间隔,其为位移频率的倒数。在本发明至少一实施例中,T1、T2、T3、T4、T5或T6的位移频率或位移频率的周期时间信息包括位移频率或位移频率的周期,其允许对应T1、T2、T3、T4、T5或T6的扫描区段或扫描模式可被位移入所述扫描路径。此时,为搜寻最佳值,可增减对应目标_T的位移频率或位移频率的周期。
此外,不限于图19的示例,一个以上的位移频率,位移频率的周期或时间信息以各种形式在单一扫描模式上使用。
参照图20,是欲搜寻可用位移频率或最佳位移频率的扫描区段作为扫描模式的一部分的情况。即,包括在扫描模式N+1的扫描区段A,A+1及A+2是用以分别搜寻最佳位移频率的扫描区段。
T1、T2、T3、T4、T5、T6、T7、T8、T9及T10是扫描模式或扫描区段的时间信息,所述扫描区段前后的扫描区段用于搜寻所述扫描区段的可用位移频率或最佳位移频率。目标_T是扫描区段的时间信息,所述扫描区段是位移频率优化的目标。
目标_T、T1、T2、T3、T4、T5、T6、T7、T8、T9及T10中的至少两个时间信息可以是相同位移频率或位移频率的周期,或者可以是相互不同的位移频率。
在本发明至少一实施例中,T1、T2、T3、T4、T5、T6、T7、T8、T9及T10的位移频率或位移频率的周期时间信息包括位移频率或位移频率的周期,其允许对应T1、T2、T3、T4、T5、T6、T7、T8、T9及T10的扫描区段或扫描模式可被位移入所述扫描路径。此时,为搜寻最佳值,可增减对应至目标_T的位移频率或周期。此外,不限于图20的示例,一个以上的位移频率,位移频率的周期或时间信息可以不同方式用于单一扫描模式上。
如图20所示,搜寻数据2010、2020及2030以搜寻比扫描模式或扫描路径短的扫描区段的最佳位移频率。包括在搜寻数据2010、2020及2030的扫描模式可具有如图18所示的至少两个扫描模式,或如图19所示的至少两个扫描区段。当每个搜寻数据2010、2020及2030包括三个扫描模式,至少所述三个扫描模式扫描路径的输出模式可和对应的预期模式比较。
如图18,图19及图20所示,包括在搜寻数据的扫描模式或扫描区段可重复输入至所述扫描路径,以搜寻扫描区段的可用位移频率或最佳位移频率。
此外,不限于图18至20的示例,包括在搜寻数据的至少两扫描模式或扫描区段的时间信息可相互相同,或完全不同
用以搜寻扫描区段最佳位移频率的搜寻数据可包括如图18,19或20所示的至少两个扫描模式。在本发明的至少一实施例中,所述搜寻数据可包括如图18,19或20所示时间数据的有关数据。时间数据可用以控制测试装置输入扫描模式或扫描区段至所述扫描路径的时间。例如,如图18至20所示,用以搜寻相邻扫描区段的最佳位移频率的搜寻数据可包括相互重叠的扫描模式。
在本发明的至少一实施例中,用以搜寻数个扫描区段最佳位移频率的搜寻数据的产生步骤可使用计算机程序或软件批处理执行。
例如,用以搜寻如图18至20所示每个扫描区段最佳位移频率的有关的扫描模式,扫描区段及位移频率的时间信息或数据构成,分割操作,可使用计算机程序或软件批处理执行。此外,包括位移频率优化的扫描区段数目,扫描区段的位值长度,扫描区段的位置等信息,可使用计算机批处理。
用以搜寻一特定扫描区段可用位移频率或最佳位移频率的搜寻数据还可包括预期模式。此外,用以搜寻一特定扫描区段可用位移频率或最佳位移频率的搜寻数据还可包括所述IC芯片的主要输入(primary input)测试数据,其在执行扫描测试或主要输出(primary output))预期数据时一起使用。
图21-28是图示本发明至少一实施例涉及的产生搜寻信息方法的各种例子的示意图。图21至23涉及当扫描区段是一扫描模式时的产生搜寻数据的方法,图24至26涉及当扫描区段是一扫描模式一部分时的产生搜寻数据的方法。
图21是图示包括多扫描模式的测试数据一示例的示意图。
参照图21,单一位移频率(如,T1=50ns(如20MHz))被分配在包括在测试数据2100的所有扫描模式。因此,所有扫描模式皆以相同的位移频率相对于所述IC芯片扫描路径的移入及移出。
测试资料2100可具有多个子测试资料,每个子测试数据包含成对的输入扫描模式及预期模式。例如,第51输入扫描模式与第50输入扫描模式的预期模式形成一对。测试数据可以标准测试界面语言(STIL),波行产生语言(WGL),或相似语言的格式构成。
第1子测试数据的无关(don’t care)预期模式是指当第1输入扫描模式移入所述扫描路径时,从所述扫描路径移出的输出模式不与一特定预期模式进行比较。在所述正反器设定为或重设为一特定值后,当第1输入扫描模式输入所述扫描路径时,从所述扫描路径移出的输出模式可能不是无关(don’t care)预期模式。
图22是图示当扫描区段是一扫描模式时,用以搜寻每个扫描区段最佳位移频率的搜寻数据产生方法的一例子的示意图。
参照图22,图21所示的原测试数据2100中欲搜寻最佳位移频率的目标扫描区段2210被赋予目标_T时间信息。目标_T时间信息是用以辨识目标扫描区段2210或控制目标扫描区段的位移频率。例如,目标_T可由所述测试装置从起始值50ns增加或减少。
当目标扫描区段2210是输入扫描模式51时,将提供给目标_T的搜寻数据2200重复输入至所述芯片,以搜寻输入扫描模式51的可用位移频率或最佳位移频率。对应至目标_T的目标扫描区段2210的位移频率周期,在每个次重复输入时被改变。在此,除目标扫描区段2210外的其余输入模式的位移频率周期是允许所述扫描模式正常输入所述扫描路径的周期(如,T1=50ns)。
例如,搜寻数据2200重复输入至所述芯片,且减少对应目标_T的周期,直到找到目标扫描区段2210的最大可用位移频率。使用输入扫描模式50所获得的输出模式与包括在子测试数据51的输入扫描模式50的预期模式进行比较。使用输入扫描模式51所获得的输出模式与包括在子测试数据52的输入扫描模式51的预期模式进行比较。允许输入扫描模式50与输入扫描模式51两者测试结果皆为正常的位移频率是目标扫描区段2210的可用位移频率。
随着用以搜寻目标扫描区段2210的可用位移频率或最佳位移频率的搜寻数据2200的尺寸减少,搜寻最佳位移频率的所需时间也因而减少。
图23是图示用于减少搜寻最佳位移频率所需时间而生成搜寻用数据方法的一例子的示意图。
如图23所示,搜寻当作目标扫描区段2310的输入扫描模式51的可用位移频率或最佳位移频率的搜寻数据2300包括目标扫描区段2310,及在目标扫描区段2310前后的输入扫描模式50及52。包括在目标扫描区段2310前的子测试资料50的预期模式是无关(don’tcare)预期模式。即,当输入扫描模式50移入所述扫描路径时,所述扫描路径移出的输出模式与一特定预期模式不进行比较。
搜寻数据2300重复输入至芯片的扫描路径,且改变对应目标_T的位移频率周期,直到找到目标扫描区段2210的最大可用位移频率。使用输入扫描模式50所获得的输出模式与包括在子测试数据51的输入扫描模式50的预期模式进行比较。以相同方法,使用输入扫描模式51所获得的输出模式与包括在子测试数据52的输入扫描模式51的预期模式进行比较。允许输入扫描模式50与输入扫描模式51两者测试结果皆为正常的位移频率是目标扫描区段2310的可用位移频率。
搜寻数据2300并不限于图23所示的例子,可另外包括位于所述目标扫描区段前后的至少两个输入扫描模式。
图24是图示包括多个扫描模式的测试数据的一例子的示意图,图25至图28是图示当所述目标扫描区段是一扫描模式的一部份时,搜寻最佳位移频率的搜寻数据产生方法的一例子的示意图。
参照图24,单一位移频率(如,T1=50ns(如20MHz))被分配在包括在测试数据2400的所有扫描模式。因此,所有扫描模式皆以相同的位移频率相对于所述IC芯片扫描路径的移入及移出。
测试资料2400可具有多个子测试资料,每个子测试数据包含成对的输入扫描模式及预期模式。例如,第51输入扫描模式与第50输入扫描模式的预期模式形成一对。
测试资料2400可分为多个扫描区段。在本实施例中,为方便说明,当输入扫描区段51分为成三扫描区段2410、2420及2430,搜寻每个扫描区段最佳位移频率的搜寻数据产生方法将参照图25至28加以说明。
如图25至图27所示,搜寻数据2500、2600及2700包括具有目标扫描区段2510、2610及2710的输入扫描模式51,及分别在输入扫描模式51前后的输入扫描模式50及52。包括在子测试资料50的预期模式是无关(don’t care)预期模式。即,当输入扫描模式50移入所述扫描路径时,所述扫描路径移出的输出模式与一特定预期模式不进行比较。目标_T时间信息是用以辨识目标扫描区段2510、2610或2710或控制目标扫描区段的位移频率。例如,目标_T可由所述测试装置从起始值50ns增加或减少。
参照图25,搜寻数据2500将目标_T的时序信息给予第1目标扫描区段2510,其为输入扫描模式51的一部分,及维持目标_T的时序信息予其余的输入扫描模式51。搜寻数据2500重复输入至所述芯片的扫描路径,且改变对应目标_T的周期,直到找到第1目标扫描区段2510的最大可用位移频率。使用输入扫描模式50所获得的输出模式与包括在子测试数据51的输入扫描模式50的预期模式进行比较。使用输入扫描模式51所获得的输出模式与包括在子测试数据52的输入扫描模式51的预期模式进行比较。允许输入扫描模式50与输入扫描模式51两者测试结果皆为正常的位移频率是第1目标扫描区段2510的可用位移频率。
当搜寻第2目标扫描区段2610及第3目标扫描区段2710的最佳位移频率时,如图26和图27所示的搜寻数据2600及2700重复输入至所述芯片的扫描路径,以重复相同方式执行如图25所示的扫描测试。
当扫描模式分为多个扫描区段时,为搜寻每个扫描区段的最佳位移频率,可产生如图28所示的单一组搜寻数据2800,而非如图25至27所示产生搜寻数据2500、2600及2700给每个扫描区段。
如图28所示,搜寻数据2800分别包括给第1至第3扫描区段2810、2820及2830的目标_T1,目标_T2及目标_T3的时序信息。换而言之,提供和目标扫描区段相同数目的时序辨识器,同时搜寻所述目标扫描区段的可用位移频率或最佳位移频率,每个个时序辨识器分配给对应的目标扫描区段2810、2820及2830。例如,当搜寻第1目标扫描区段2810的可用位移频率或最佳位移频率时,对应目标_T1的位移频率可被增加或减少。
当产生如图28所示多个目标扫描区段的单一组搜寻数据2800时,允许用以储存在储存媒体的储存容量和每个目标扫描区段的产生的搜寻数据进行比较。然而,可用于所述测试装置的时序辨识器数目或位移频率数目可能需要限制。
例如,当可用于所述测试装置的时序辨识器数目限于三个,及扫描模式分为四个目标扫描区段,如图25至图27所示,对每个扫描区段产生的搜寻数据2500、2600及2700可搜寻所述最佳位移频率。
搜寻数据2500、2600、2700、2800及2900不限于图25至图28所示的例子,可另外包括位于所述目标扫描区段前后的至少两个输入扫描模式。
通常,随着用以搜寻所述扫描模式或扫描区段的可用位移频率或最佳位移频率的搜寻数据的尺寸减少,搜寻最佳位移频率所需的时间也因而减少。例如,随着所述扫描模式或扫描区段的数目减少,搜寻最佳位移频率所需的时间也因而减少。
为计算搜寻包括在测试数据所有扫描模式的最佳位移频率所需的位移时钟周期,定义SN,BL及FN如下。
SN:构成设数据的扫描模式数目。
BL:单一扫描模式的位值长度。位移一个位值使用一个位移时钟周期。
FN:每个扫描模式,搜寻最佳位移频率所需增加位移频率的次数。位移频率以预定增加量从预定低频率依序增加。
在本发明至少一实施例中,假设SN=5,000,BL=1,000,及FN=20。以下列方法1及2搜寻包括在测试数据所有扫描模式的最佳位移频率所需的位移时钟周期。
方法1
使用图22所示包括整体输入扫描模式的搜寻数据,搜寻每个扫描模式的最佳位移频率所需的位移时钟周期如下。
需要的总时间=SNxSNxBLxFN=500,000,000,00位移时钟周期。
方法2
使用图23所示包括三个输入扫描模式的搜寻数据,搜寻每个扫描模式的最佳位移频率所需的位移时钟周期如下。在此,当搜寻第1扫描模式的最佳位移频率时,使用包括第1及第2输入扫描模式的搜寻数据;及当搜寻最后一个输入扫描模式的最佳位移频率时,使用包括最后一个扫描模式及最后一个扫描模式前的扫描模式的搜寻数据。
需要的总时间=(3x(SN-2)xBLxFN)+(2x2xBLxFN)=299,960,000位移时钟周期。
在上述方程式中,(3x(SN-2)xBLxFN)是搜寻所有扫描模式的最佳位移频率所需的位移时钟周期总数目,但除扫描模式组的两扫描模式(即,第1个被输入模式及最后一个被输入模式)外。
在上述方程式中,(2x2xBLxFN)是搜寻第1个被输入模式及最后一个被输入模式的最佳位移频率所需的位移时钟周期总数目。
发现使用方法2比使用方法1可减少所需的位移时钟周期总数目的99.94%。
因此,在另一实施例中,用以搜寻扫描模式或扫描区段的可用位移频率或最佳位移频率的搜寻数据尽可能包括较小的扫描模式或扫描区段数目。
在本发明至少一实施例中,参照图18,所述搜寻数据包含至少两个扫描模式,所述至少两个扫描模式包括扫描模式具有目标扫描区段其用以搜寻最佳位移频率的频率,及在所述目标扫描区段前或后的扫描模式。
此外,如图19至28所示例子的相同方法,所述搜寻数据包含至少三个扫描模式:所述目标扫描区段及位于所述目标扫描区段前后的扫描模式,所述目标扫描区段用以搜寻位移频率或最佳位移频率。
在本发明至少一实施例中,用以搜寻扫描区段的可用位移频率或最佳位移频率的搜寻数据以数据码,档案等格式储存在计算机可读的记录媒体中。
此外,用以搜寻扫描区段的可用位移频率或最佳位移频率的搜寻数据产生步骤可根据实施例,在相同装置或不同装置中分别执行,例如在测试装置,或如计算机的装置。
图29是图示本发明至少一实施例涉及的最小化芯片测试时间方法例子的流程图。
参照图29,用以最小化测试时间的装置将位值模式或至少一扫描模式分割成至少两个扫描区段(S2900)。各种不同方法可用以将测试数据的所述位值模式或扫描模式组分成扫描区段,如图5至图10所示的例子。
在分割的步骤中,用于分割测试IC芯片的数千或数万余扫描模式扫描区段或区段群的测试数据,或包括所述数据的档案的操作,可使用计算机程序或软件批次执行,更为有效。
例如,计算机程序或软件使用扫描区段分割相关信息,如用以优化位移频率的扫描区段数目,扫描区段的位值长度,扫描区段的位置等,将测试数据分成扫描区段或扫描区段群,及以批次流程产生被分割的扫描区段或扫描区段群的搜寻数据,或包括所述搜寻数据的档案。
扫描区段分割相关信息可由用户界面装置取得,如键盘,鼠标,声音辨识装置等,或信息数据码或包括所述数据的档案,或数据通讯网络,其的后可被所述计算机程序或软件使用。
作为扫描模式分割的一例子,可使用图5至图10所示的方法。用以最小化测试时间的装置将多个位移频率分配给每个扫描区段(S2910)。在此,分配给每个扫描区段的位移频率等于或小于在所述扫描路径的输出模式开始和预期模式不同的位移频率。将扫描模式分成扫描区段(S2900)及分配位移频率给每个扫描区段(S2910)可根据实施例,在相同装置或分别的装置中执行,例如在测试装置,或如计算机的装置。
即,用以最小化测试时间的装置随着增加位移频率,找出在所述输出模式和预期模式开始相互不同时刻的前一瞬间的位移频率,将其作为可分配给对应的扫描区段的最大位移频率。另一例子,用以最小化测试时间的装置随着减少位移频率,找出在输出模式和预期模式从不同开始变成相互相同时的位移频率,将其作为可分配给对应的扫描区段的最大位移频率。例如,用以最小化测试时间的装置在扫描测试结果为正常及失败间增加或减少扫描区段的位移频率,以搜寻位移频率让测试结果为正常,及判定以此方式搜寻的位移频率为可分配给对应扫描区段的最大位移频率。
图30是图示本发明至少一实施例涉及的用于最小化芯片测试时间而确定每个扫描区段的最佳位移频率方法的另一例子的流程图。
参照图30,用以最小化测试时间的装置将至少一扫描模式分成至少两扫描区段(S3000)。
用以最小化测试时间的装置在相同输出模式和预期模式开始相互不同时,或不相同的输出模式和预期模式开始变成相互相同时,增加或减少位移频率以搜寻位移频率,将所述扫描区段移入所述扫描路径(S3010)。作为一例,用于搜寻最佳位移频率的芯片可使用预先测试为非瑕疵的芯片。例如,利用名义位移频率测试结果为正常的芯片,搜寻本实施例涉及的最佳位移频率。相同方式也适用于其他实施例。
因此,用以最小化测试时间的装置在所述输出模式和预期模式开始相互不同前测试结果为正常的位移频率,判定其为所对应扫描区段的位移频率(S3020)。在所述输出模式和预期模式开始相互不同前的位移频率包括频率小于当所述输出模式和预期模式开始相互不同的位移频率。
例如,当所述输出模式和预期模式以第1位移频率为相互相同,但以第2位移频率为相互不相同时,所述第2位移频率以预定增加量增加第1位移频率而取得,用以最小化测试时间的装置将小于第2位移频率且让测试结果为正常的一位移频率判定为所述扫描区段的位移频率,或提供用于判定的信息。
用以搜寻最佳位移频率的增加量可在测试装置预先设定,或改变,或由使用者设定。
本实施例为便于说明,虽然记述通过增减用于移入的位移频率,以搜寻每个扫描区段的最佳位移频率的方法,但根据实施例可通过增减用于移出的位移频率,以搜寻所述位移频率。后序实施例同上。
根据实施例,用以最小化测试时间的装置不全部实现图30中所述的所有步骤,至少一部份可在如计算机等的其他装置中实现。
图31是图示本发明至少一实施例涉及的最小化芯片测试时间的方法的详细过程例子的流程图。
参照图31,用以最小化测试时间的装置将至少一扫描模式分成多个扫描区段(S3100)。
用以最小化测试时间的装置选择扫描区段,其位移频率不是根据本实施例在所述扫描区段间判定(S3110)。例如,当扫描测试用扫描模式间有预定顺序时,所述用以最小化测试时间的装置依序从第1扫描区段选择扫描区段。此外,用户选择用以最小化的扫描区段,所述用以最小化测试时间的装置执行所述选择扫描区段的位移频率优化。此外,还可存在选择欲优化位移频率的扫描区段的不同方法。
所述用以最小化测试时间的装置增加所述位移频率(S3120)。例如,不同频率可使用成起始频率,如名义位移频率等。
所述用以最小化测试时间的装置从允许所述扫描测试结果为正常的起始频率开始,以增加位移频率判定所述扫描区段是否可正常移入所述扫描路径(S3130)。判定所选的扫描区段是否以所述位移频率正常移入所述扫描路径的判定例子将在图32中进行说明。
当所述扫描区段可正常移入(S3140),则所述用以最小化测试时间的装置再一次增加位移频率(S3120),及重复判定所述扫描区段是否可正常移入(S3130)。
随着位移频率的增加,所述扫描区段不可正常移入(S3140)时,所述用以最小化测试时间的装置判定等于或小于允许正常移入的最大位移频率的位移频率为对应的扫描区段的位移频率,或将可判定的信息储存在计算机可读纪录媒体中(S3150)。重复上述步骤直到判定所有扫描区段的位移频率,或判定所有扫描区段位移频率的信息储存在计算机可读纪录媒体(S3160)。在此,储存在计算机可读纪录媒体的信息的例子包括有关在测试目标IC芯片的每个位移频率的位移,或测试正常或失败的信息。
所述用以最小化测试时间的装置将扫描区段群组成适当的扫描区段群(S3170)。例如,当执行真正扫描测试的测试装置具有在扫描测试间可支持的最大位移频率的改变次数,位移频率的最大数目,改变位移频率的延迟时间等制约条件时,所述用以最小化测试时间的装置将一些扫描区段群组以允许扫描区段数目满足所述制约条件,以最小化扫描测试的总时间。这种情况下,等于或小于包括在扫描区段群组至少两扫描区段的最佳位移频率中的最小位移频率可被判定为对应扫描区段群组的位移频率。扫描区段群组步骤(步骤3170)在另一实施例中可省略。
例如,测试装置可支持改变最大位移频率次数是5时,当目前扫描区段数目超出5时,所述用以最小化测试时间的装置将扫描区段群组成五组或较少群组,及等于或小于包括在扫描区段群组至少两扫描区段的最佳位移频率中的最小位移频率可被判定为对应扫描区段群组的位移频率。不同的方法可用于群组扫描区段成扫描区段群组,只要所述扫描测试时间可以最小化,例如将有相同或相似最佳位移频率的扫描区段群组在一起。
上述实施例主要考虑增加位移频率的例子来说明搜寻最佳位移频率的流程。在另一实施例中,对应扫描区段的最佳位移频率也可以用减少位移频率方式搜寻。
例如,所述用以最小化测试时间的装置以测试结果失败的起始位移频率开始,在减少的位移频率中判定扫描区段是否可正常地移入扫描路径。当减少位移频率时,如果可找出位移频率可将所述扫描区段正常移入所述扫描路径,则用以最小化测试时间的装置判定等于或小于允许正常移入的最大位移频率的位移频率为对应的扫描区段的位移频率,或将可判定的信息储存在计算机可读纪录媒体中。
在另一实施例中,因为IC芯片会受供应电压,环境温度等影响,此等环境状况会反映于搜寻最佳位移频率。即,用以最小化测试时间的装置可在改变供应电压,环境温度等环境状况,执行搜寻最佳位移频率的流程。
例如,用以最小化测试时间的装置因考虑芯片规格,如质量确认(QA)的质量相关政策,质量控制(QC)等,可增加或减少电压供应(S3120)。用以最小化测试时间的装置接着根据本发明至少一实施例,以不同供应电压搜寻每个扫描区段的最佳位移频率。当对选取扫描区段于不同供应电压找出多个最佳位移频率时,所述用以最小化测试时间的装置判定等于或小于多个位移频率中的最小位移频率为对应的扫描区段的位移频率(S3150)。此外,当增加或减少温度或改变其他条件,在重复搜寻最佳位移频率流程后,所述用以最小化测试时间的装置判定等于或小于由上述方式取得的多个位移频率中的最小位移频率为对应的扫描区段的位移频率。
通常称为电子测试(electrical testing)或测试(shmooing)是用以确定IC芯片的特征,如频率范围等,同时改变IC芯片的供应电压或环境温度。测试绘图(shmooplotting)是指以电子测试(electrical testing)或测试(shmooing)制作特征信息的图表。所述图表称为测试图表(shmoo plot)。
图31所示的每个步骤不仅可在所述用以最小化测试时间的装置中执行,而且可在如计算机的其他装置执行。
图32是图示本发明至少一实施例涉及的在最小化芯片测试时间方法中判断一正常移入的详细程序流程图。即,图32可对应图31所示的步骤S3100,但不限于图31所示的一特定步骤,可用于包括判定扫描模式或扫描区段是否移入扫描路径的步骤的其他不同实施例。
参照图12及图32,用以最小化测试时间的装置将位于目标扫描区段K前的输入模式K-11202移入,目标扫描区段K目前被选用以判定扫描路径1210的位移频率(S3200)。例如,位于包括目标扫描区段K的扫描模式M前的输入模式K-11202具有(1)或(2)的例子。
(1)当输入模式K-11202是用以真实测试的扫描模式时。
用以最小化测试时间的装置将扫描模式M-1移入扫描路径,并执行扫描撷取。此优点是可反映真实扫描测试操作。扫描模式M-1是位于包括目标扫描区段K的扫描模式M之前的模式。
(2)当扫描模式M-1作为用于实际扫描测试中使用扫描模式M-1的扫描测试结果,是可预期的输出模式时。
用以最小化测试时间的装置将扫描模式M-1移入扫描路径后,不须执行扫描撷取。因此,对应扫描撷取的时间可节省,及因而搜寻最佳位移频率的时间可减少。
用以最小化测试时间的装置将输入扫描模式K-11202移入扫描路径后,执行扫描撷取(S3200)。在另一实施例中,用以最小化测试时间的装置在此步骤中没执行此扫描撷取。因此,用以最小化测试时间的装置以增加或减少的位移频率移入目标扫描区段K(S3210)。如图14所示,当目标扫描区段K1204是扫描模式M的一部分时,包括目标扫描区段K的扫描模式M被移入所述扫描路径。
这种情况下,当目标扫描区段K或包括目标扫描区段K的扫描模式M移入所述扫描路径,储存在所述扫描路径的一位值模式被同时移出(S3210)。在此,移出的位值模式并不限于上述例子可根据扫描电路种类而不同,所述扫描电路对扫描路径可同时执行移入及移出操作。
例如,当目标扫描区段K1024是扫描模式M的一部分,其如图14所示比所述扫描路径长度短时,将包括目标扫描区段K1024的扫描模式M移入所述扫描路径。在此,非目标扫描区段K1024的扫描模式M一部分的位移频率被设定成不限制目标扫描区段K1024的最佳位移频率搜寻。在此,非目标扫描区段K1024的扫描模式M一部分的位移频率被设定成不与目标扫描区段K1024的位移频率一同增加或减少,或被设定成和目标扫描区段K1024的位移频率不同的位移频率。或者,允许非目标扫描区段K1024的扫描模式M一部分的位移频率正常输入所述扫描路径,可被用作为非目标扫描区段K1024的扫描模式M一部分的位移频率。
在本发明至少一实施例中,非目标扫描区段K1024的扫描模式M一部分的位移频率被设定一预定位移频率,如等于或小于名义位移频率,或当此部分的最佳位移频率已使用本发明的一些实施例判定,被设定等于或小于对应的最佳位移频率。所述预定位移频率并不限于上述例子,但可为各种频率,如名义位移频率的调整值,所述装置以软件设定的频率,用户设定的频率,和依据实施例而定的频率。
用以最小化测试时间的装置将输入模式K-1的输出模式K-1与测试目标芯片的预期模式K-1进行比较(S3220)。当比较结果指出输出模式K-1与预期模式K-1不相同(S3220)时,用以最小化测试时间的装置判定目标扫描区段K1204不能以目前的位移频率正常移入所述扫描路径(S3270)。用以最小化测试时间的装置接着将测试失败信息储存在计算机可读纪录媒体中。
当比较结果指出输出模式K-1与预期模式K-1相同(S3220)时,用以最小化测试时间的装置执行目标扫描区段K1204的扫描撷取(S3230),及接着执行移出操作(S3240)。在另一实施例中,移出操作(S3240)的执行可无扫描撷取操作(S3230)。此外,移出(S3240)的位值模式可根据扫描电路种类而发生变化,所述扫描电路可执行对于所述扫描路径同时移入及移出的操作。
目标扫描区段K1024的输出模式移出(S3240)时同时移入的输入模式K+11206中使用不刻意改变移出(S3240)的目标扫描区段K1204的位值模式的位移频率。即,使用可使移出(S3240)操作的正常执行的位移频率。此外,在执行目标扫描区段K1024的输出(S3240)操作时同时移入的输入模式K+11206则使用可正常移入至扫描路径的位移频率。
用以最小化测试时间的装置将目标扫描区段K1204的输出模式K与测试目标芯片的预期模式K进行比较(S3250)。当比较结果指出目标扫描区段K1204的输出模式K与测试目标芯片的预期模式K不相同(S3250)时,用以最小化测试时间的装置判定目标扫描区段K1204不能以目前的位移频率正常移入所述扫描路径(S3270)。用以最小化测试时间的装置接着将测试失败信息储存在计算机可读纪录媒体中。
当比较结果指出目标扫描区段K1204的输出模式K与测试目标芯片的预期模式K相同(S3250S)时,用以最小化测试时间的装置判定目标扫描区段K1204可用目前位移频率正常移入所述扫描路径(S3260)。用以最小化测试时间的装置接着将测试正常信息储存在计算机可读纪录媒体中。
在本发明至少一实施例中,通过比较包括目标扫描区段K1204的扫描模式以及位于其之前的扫描模式对应的芯片输出模式与预期模式进行比较,从而可搜寻目标扫描区段K1204的可用位移频率或者最佳位移频率。
在本发明至少一实施例中,测试装置判断目标扫描区段K1204及位于目标扫描区段K1204之前的输入模式K-11202的测试结果是否都正常。如果全部测试结果都为正常,则目标扫描区段K1204的位移频率被判定为可将目标扫描区段K1204正常移入所述扫描路径的位移频率。
图33是图示本发明至少一实施例涉及的最小化芯片测试时间的方法的另一例子的流程图。
依据IC芯片制程(process)的种类及状态,在不同晶圆上的IC芯片或者在相同晶圆上的IC芯片之间,可能存在制程变异(process variation),其可影响IC芯片的操作频率及电量消耗。特别地,深次微制程及低耗电制程对操作频率及耗电量的影响更大。
如图33所示,用以最小化测试时间的装置执行判定多个芯片的最佳位移频率的步骤(S3300)。所述多个芯片可能是在不同晶圆上的IC芯片或在相晶圆上的IC芯片,为事先判定为非瑕疵芯片。
从多个芯片中搜寻扫描区段的多个最佳位移频率的最小位移频率,用以最小化测试时间的装置判定等于或小于前述最小位移频率的位移频率为对应扫描区段的最佳位移频率,或将可用于判断位移频率的信息储存在计算机可读纪录媒体中(S3310),及对每个扫描区段执行此流程。此处,作为一例,储存在计算机可读纪录媒体的信息可以是每个位移频率的位移,或测试正常或失败有关的信息。
例如,假设第1测试目标芯片的目标扫描区段K的位移频率是A及第2测试目标芯片的目标扫描区段K的位移频率是B。如果位移频率A小于位移频率B,则所述测试装置选择等于或小于位移频率A的位移频率为所述目标扫描区段K的位移频率,或将可用于选择的信息储存在计算机可读纪录媒体中。
图33的步骤可在用以最小化测试时间的装置中执行,而且可在如计算机等其他装置中执行。
图34是图示本发明至少一实施例涉及的最小化芯片测试时间的装置的方块图。
图34所示的用以最小化测试时间的装置可执行根据本发明前述的方法,以对每个扫描区段优化其位移频率,其中可运用图12至图33所示的方法的全部或一部分。
参照图34,用以最小化测试时间的装置包括条件设定部3400,模式分割部3405,模式输入部3410,模式比较部3420,频率搜寻部3430。条件设定部3400包括频率增减部3402,电压增减部3404,及温度增减部3406。
条件设定部3400设定不同条件以对每个扫描区段搜寻最佳位移频率。明确地说,频率增减部3402增加或减少位移频率,电压增减部3404增加或减少供给所述芯片的电压,及温度增减部3406增加或减少测试环境的环境温度。条件设定部3400可设定供给电压及环境温度等,而增加或减少位移频率。例如,条件设定部3400可设在主计算机200、300,测试主机210、310,测试头220、320或探针350。
模式分割部3405将至少一扫描模式分割成多个扫描区段。例如,所述模式分割部3405可设在主计算机200、300,测试主机210、310,测试头220、320或探针350。模式分割部3405以图5至10所示的方法分割测试数据成至少一个扫描区段。
模式输入部3410在条件设定部3400设定的条件下,允许扫描区段移入所述测试目标芯片的扫描路径。明确地说,模式输入部3410允许目标扫描区段前后的扫描模式或扫描区段依序移入所述扫描路径,所述目标的扫描区段用以搜寻最佳位移频率。例如,模式输入部3410可设在主计算机200、300,测试主机210、310,测试头220、320或探针350。
模式比较部3420将由模式输入部3410移入测试目标芯片的扫描区段测试结果的输出模式和预期模式进行比较。例如,模式比较部3420可设在主计算机200、300,测试主机210、310,测试头220、320或探针350。由条件设定部3400增加或减少位移频率,会有时间或频率使得所述输出模式与所述预期模式由原本相互相同变成相互不相同,或由原本相互不相同变成相互相同。
频率搜寻部3430储存位移频率信息以在所述输出模式与所述预期模式变成相互不相同前搜寻位移频率,或根据比较结果搜一位移频率让所述输出模式与所述预期模式相互相配,在计算机可读纪录媒体中储存由模式比较部3420比较结果的信息。此外,频率搜寻部3430使用上述信息判定扫描区段的最佳位移频率。
在本发明至少一实施例中,频率搜寻部3430储存位移频率为所述目标扫描区段的可用位移频率的信息在计算机可读纪录媒体中,所述储存的位移频率允许至少所述目标扫描区段的输出模式与位于所述目标扫描区段前一扫描区段的输出模式分别与其预期模式都相同。在图34中,至少两部可整合为单一模块,或每个部可分成子部。例如,频率搜寻部3430可设在主计算机200、300,测试主机210、310,测试头220、320或探针350。
上述用以最小化测试时间的装置可使用硬件或软件等以不同形式实施。此外,用以最小化测试时间的装置的全部或一部分可实施于如图2及3所示的测试系统中,或可使用如计算机的其他装置实施。
图35是图示本发明至少一实施例涉及的用以同时搜寻或判定多个扫描区段的最佳位移频率方法的示意图。
如图35所示,用以最小化测试时间的装置同时并列搜寻或判定多个IC芯片不同扫描区段最佳位移频率,可减少搜寻或判定最佳位移频率所需的时间。
例如,位于测试系统的测试界面板3500的多个IC芯片3510、3512、3514及3516的不同扫描区段最佳位移频率可一起搜寻或判定。在本发明至少一实施例中,不同扫描区段最佳位移频率可在多个测试系统或多个测试界面板上同时搜寻或判定。
当以一个依序搜寻或判定所有扫描区段全部的最佳位移频率需要h小时,以同时搜寻或判定n个扫描区段的最佳位移频率则需要h/n小时。因此,用以测试IC芯片的数千至数万计的扫描模式可分割成长度较短的扫描区段以具有在相同时间内优化位移频率的功效。
图36是图示本发明至少一实施例涉及的重新排序扫描模式以最小化测试时间的方法一例子的概略示图。
如图36所示,用于扫描测试的扫描模式组的扫描模式具有预定顺序。然而,所述扫描模式的顺序并非固定,而是由分配高位移频率给每个扫描区段,可重新排序以减少总测试时间。例如,在原扫描模式组的第2扫描模式与第3扫描模式可改变。当扫描模式顺序改变时,预期输出扫描模式也因而改变。
当移到扫描路径的扫描模式被重新排序时,由扫描位移可改变IC芯片电路的切换部分及切换操作的数目,其耗电量也因而改变,分配给扫描模式(或扫描区段)的位移频率可被增加。基于此特性,在重新排序扫描模式后,使用上述实施例搜寻或判定每个个扫描区段的最佳位移频率,可进一步减少扫描测试总时间。
重新排序扫描模式方法的一例子包括至少一次任意重新排序原扫描模式组上的扫描模式,使用上述一些实施例的方法的判定每个重新排序扫描模式的优化位移频率,及判定提供最短测试时间的扫描模式组为所述扫描模式的顺序。另一例中,不同的方法可用以重新排序扫描模式,如将具有最小位值模式差异的扫描模式安排成相互相临。
另一重新排序扫描模式的例子包括,当在第k个扫描模式的后(k是等于或大于1的整数),安排顺序为判定的扫描模式,使用上述说明的最佳位移频率搜寻方法判定具有最高位移频率的扫描模式。
重新排序扫描模式的全部或一部分操作可由包括在测试系统的如一程序的硬件,固件,及软件执行,或如一计算机的其他装置来执行。
此外,当需要可观时间以找到扫描模式的最佳排序时,可限制扫描模式的重新排序次数,或找到扫描模式的最佳排序所需的时间。
此外,在本发明至少一实施例中,使用至少两个测试数据的最佳位移频率,可能减少IC芯片的压力测试或老化测试的时间,或改善其测试质量。在本发明至少一实施例中,将最佳位移频率用于至少两个扫描模式或扫描区段,可减少IC芯片的压力测试或老化测试的时间,或改善其测试质量。运用本发明至少一实施例涉及的最小化扫描测试时间的方法,可以找到每个扫描模式或扫描区段的最佳位移频率。
在此,压力测试或老化测试是通过使IC芯片长时间操作给IC芯片压力,通过向IC芯片施加高电压及高温加速其老化,以测试IC芯片的质量,或找出具有初期故障(early-life failure)的IC芯片。通常,老化测试是在超过100℃的环境温度中执行数十小时的测试。此后,压力测试及老化测试统称为老化测试。此外,可执行此老化测试的测试装置则称为老化测试装置。
IC芯片的老化很大是受热的影响,而热主要由IC芯片的电量消耗产生。
例如,下列数学式2显示当IC芯片动作时作为了电力消耗的动态电量消耗的主要因素。
[数学式2]
P=α×C×f×VDD 2
α:有效因子
C:平均切换电容(在每个循环)
f:电流频率
VDD:供应电压
在IC芯片的扫描模式中,所述IC芯片的有效电路可依据扫描模式的位值模式改变。通常,所述IC芯片的扫描模式比功能模式,电路的更多部分会产生切换动作。因此,其平均切换电容C在扫描模式中增加,及其耗电量P也因而增加,如数学式2所定义。
此外,随着位移频率增加,耗电量P也随着所述IC芯片的操作频率成比例增加,如数学式2所定义。
IC芯片增加的切换操作进而增加IC晶的耗电量,也因而增加IC晶的温度。因此,可进一步加速IC芯片的老化。
在本发明至少一实施例中,老化测试装置使用可分配给每个扫描区段或测试数据的最大位移频率,以减少老化测试时间,因而在执行老化测试时,加速IC芯片的老化。
例如,在执行IC芯片的老化测试时,老化测试装置可使用扫描区段或扫描模式以加速老化测试。在此,扫描测试可一起执行。
此外,在执行扫描位移操作时,如使用名义位移频率则由扫描模式活化的电路的一部分可被施予较高的压力其他部分可被施予较低的压力。然而,作为一例,通过将测试数据的扫描模式分割扫描区段,及使用分配予每个分割的扫描区段的最大位移频率以执行老化测试,其可能减少电路的特定部分的老化加速,或相对减慢所述电路特定部分的老化。
作为一例,图41图示了测试数据的扫描模式的位移频率未优化的情况4100和使用扫描区段分割扫描模式并产生均匀的高温的情况。
即,以更平衡方式尽可能施予压力给由扫描模式的位值模式活化的IC芯片的不同部分,不仅可以改善老化测试的速度,也可以改善老化测试的质量。使用测试所述芯片时的测试数据的每个扫描区段的最大可使用位移频率,可改善老化测试的速度及老化测试的质量。
图37及38是图示本发明至少一实施例的老化测试系统的示意图。
如图37及38所示,老化测试系统包括主计算机3700、3800,测试主机3710、3810,测试头3720、3820,界面板3730、3830,温度控制部3760、3870,腔室3750、3860,及探针3850。
置于界面板3730、3830供测试的被测装置(DUT)3740、3840是晶圆上的IC,封装的IC芯片等。当被测装置(DUT)3740、3840是晶圆上的IC时,测试系统还包括探针3850。
测试主机3710、3810执行所述扫描测试及老化测试的整体控制。例如,测试主机3710、3810控制被测装置(DUT)的测试设定,产生被测装置(DUT)的电子信号,观察及测量被测装置(DUT)的测试结果信号,由温度控制部控制所述腔室的温度的整体程序。测试主机可实施在计算机上,其包括中央处理部(CPU)、内存、硬盘、用户界面等。根据实施例,测试主机还包括供电装置(Device Power Supply),以供给被测装置(DUT)电源。此外,测试主机还包括处理各种数字信号的数字信号处理器(DSP,Digital Signal Processor,未图示)和控制测试头使其向被测装置(DUT)3740、3840施加的控制器及信号生成器等专用硬件、软件、固件等。测试主机也被称为主架构或服务器。
主计算机3700、3800是计算机,如个人计算机,工作站等,其允许用户执行测试程序,控制测试程序,及分析测试结果的装置。通常,所述主计算机包括中央处理器(CPU),如内存及影碟的储存部,用户界面等。所述主计算机经由有线或无线通信连接至所述测试主机。所述主计算机具有专用硬件,软件,固件等,以控制所述测试。在图示中,虽然所述主计算机及所述测试主机被分开图标,但所述主计算机及所述测试主机也可以整合于一起的方式提供。
所述主计算机或所述测试主机的内存包括DRAM,SRAM,闪存等。内存储存执行被测装置(DUT)测试的程序及数据。
用以执行所述老化测试的所述测试主机或所述主计算机的软件或固件包括设备驱动器,操作系统(OS)程序,及执行被测装置(DUT)测试的程序,这些程序储存在内存,以设定被测装置(DUT)测试,产生被测装置(DUT)测试的信号,及由CPU执行的观察及测量被测装置(DUT)测试的结果信号的指示码形式储存。因此,扫描模式可由这些程序被给予所述被测装置(DUT)。此外,被测装置(DUT)测试的数据分析及报告及其结果可自动由这些程序取得。使用于这些程序的语言包括C,C++,java等。这些程序可储存在储存装置,如硬盘,磁带,闪存等。
所述主计算机及所述测试主机的CPU是处理器,其执行软件的指示码,或储存在内存的程序。例如,当用户指令经由键盘或鼠标等用户界面输入,CPU分析用户指令,及在执行对应用户指令的软件或程序操作后,经由如扬声器,打印机,监视器等的使用者界面,提供操作结果给使用者。
所述主计算机或所述测试主机的用户界面允许用户及装置互相传送及接收信息。例如,用户界面包括如键盘,触控银幕或鼠标等的输入界面,及如扬声器,打印机,监视器等的输出界面。
测试头3720、3820包括通道等,用以在测试主机与待测装置(DUT)间传送电子信号。界面板置放在测试头上方。通常,用于测试封装IC芯片的界面板被称为载板(loadbord),用于测试晶圆上的IC芯片的界面板被称为探针卡(probe card)。
腔室3750、3860提供余量用于老化所述待测装置(DUT)。腔室经由温度控制部控制置在腔室内的所述待测装置(DUT)的温度。温度控制部可包括在所述主计算机或所述测试主机内。主计算机或所述测试主机可控制待测装置(DUT)的老化测试时间或其供给电压。
图37及图38所示的老化测试装置仅为帮助了解本发明的例子。所述系统可根据实施例以不同方式加以设计及变更,如整合一些组件,或分割组件成多个组件。
此外,图37及图38所示的例子可在同时执行所述老化测试及所述扫描测试,或一次只执行所述老化测试及所述扫描测试的测试。
在本发明至少一实施例中,老化测试装置如前所述,可使用每个个扫描区段的最佳位移频率进行老化测试。在本发明至少一实施例中,在此,还可以进行判断芯片正常与否的测试。
如上述,在本发明至少一实施例中,使用每个扫描区段的最佳位移频率,所述老化测试系统可一起执行所述老化测试及所述扫描测试。通常,IC芯片在扫描模式时比功能模式时,在电路部分产生较多的切换操作,因此加速扫描测试的老化可节省老化测试的时间。此外,使用分配给每个分割扫描区段的最大位移频率进行老化测试,不仅可更加节省老化测试时间,而且可减少基于一特定扫描模式,加速电路上的一特定部分的老化的现象。即,以非常平衡的方式施予最多压力予所述IC芯片,可以改善老化测试的质量。随着使用最佳位移频率的扫描模式的长度减少,上述影响随的增加。
此外,本发明并不限于同时执行所述老化测试及所述扫描测试的模式。在另一实施例中,在老化测试时,仅包括位移扫描模式的步骤,但不进行所述扫描测试。
图39是图示本发明至少一实施例涉及的使用单一扫描位移频率的老化测试时,所述IC芯片受温度影响例子的示意图。
参照图39,多个扫描模式以相同的位移频率(如,25MHz)位移入IC芯片3900的扫描路径。由每个扫描模式活化的IC芯片的部分可相互不同。例如,由扫描模式13930活化的IC芯片的部分3910与由扫描模式23932活化的IC芯片的部分3920可相互不同。
此外,根据位移频率,扫描模式等,由每个扫描模式启动的IC芯片的部分,可能具有不同切换操作次数而产生不同的热。例如,由扫描模式1启动的IC芯片的部分3910的温度是a℃,由扫描模式2启动的IC芯片的部分3920的温度是b℃。
通过在IC芯片上产生更多的压力或热,可增加位移频率以加速IC芯片的老化。然而,过度增加位移频率可能导致过度错误(overkill),其将正常芯片判断成有瑕疵。相反,降低位移频率可能不会有效加速IC芯片的老化,因为在IC芯片上产生不充足的压力与热。
图40是图示本发明至少一实施例涉及的使用优化位移频率的老化测试时,所述IC芯片受温度影响例子的示意图。图39及图40的例子是使用相同的IC芯片和相同的扫描模式。
参照图40,每个扫描模式使用其最佳位移频率以移入所述扫描模式可加速IC芯片的老化。
通常,老化测试是在超过100℃的环境温度中执行数十小时的测试,因此老化测试时间与其使用的电会增加芯片测试成本。即,IC芯片测试服务公司是根据所使用的测试装置数目及测试时间开账单,因此。芯片测试时间是确定芯片测试成本的重要因素。此外,在老化测试时,腔室内超过100℃的高温通常是基于电力而形成,其因而需要可观的成本,及因此其在测试服务公司及芯片测试成本皆有极大影响。
因此,对于节省测试成本,减少老化测试时间和基于老化测试消耗的电力是十分重要的。此外,缩短老化测试时间对于产品进入市场的时间也十分重要。
例如,当如图39所示的扫描模式13930的最大可用位移频率是25MHz,及扫描模式23932的位移频率可增至更多时,则如图40所示,通过优化扫描模式24032的位移频率使其增加,以相比于如图39所示的温度b℃高出温度c℃从而可加速IC芯片的老化。
为便于说明,虽然图39及图40描述了以分配至扫描模式的位移频率将扫描模式移入扫描路径的情况,但,如图5至图10所示,所述扫描模式可至少分成两个扫描区段,所述等扫描区段可用互不相同的位移频率移至所述扫描路径。
此外,例如,测试目标芯片的连接温度(junction temperature)须维持在预定温度范围,以使所述老化测试的时间及质量可预期。例如,测试目标装置或IC芯片的连接温度(junction temperature)可由数学式3确定。
[数学式3]
Tj=Ta+P+θja
其中,Tj是测试目标装置或IC芯片的连接温度,Ta是环境温度,P是测试目标装置或芯片的耗电量,θja是测试目标装置或IC芯片的热阻。
参照数学式3,Tj的可控制性(controllability)视Ta及P的可控制性而定。例如,使用如腔室或热夹盘(thermal chuck)的装置以控制测试目标装置或IC芯片的外部环境温度,可使Ta可适当地加以控制。因此,在芯片老化测试时,控制耗电量P的方法是需要的。例如,在芯片老化测试时的制耗电量变动(fluctuation)对芯片的连接温度(junctiontemperature)Tj会有很大影响,同时影响所述芯片的可靠性筛选程序(reliabilityscreening process)。
老化测试所需的时间可依数学式3的连接温度Tj平均值(median value)估算。例如,连接温度可由图42所示的耗电量Pburn-in的值确定。Pburn-in可采测试数据的平均耗电量的平均值(median value),或根据质量确认(QA)或质量控制(QC),执行的老化测试的耗电量预计值。
图42是图示本发明至少一实施例涉及的在测试数据的耗电量被调整前,老化测试时产生的耗电量的曲线图。图43是图示本发明至少一实施例涉及的在测试数据的耗电量被调整后,老化测试时产生的耗电量的曲线图。
参照图42,当耗电量高于Pburn-in或反映余量的Pmargin-high时,过度老化(overburn-in)状态可能发生,其可能影响所述芯片良率。
另一方面,当耗电量低于Pburn-in或反映了余量的Pmargin-high时,不足老化(underburn-in)状态可能发生,其可能产生让有瑕疵芯片通过测试程序的情况。
因此,为达到精确预计老化测试的时间与质量,测试数据的耗电量需要接近如图43所示的Pburn-in。即,有必要通过将基于测试数据的耗电量的变动保持在很小的范围,从而最小化IC芯片所产生的热的变化。
通过优化老化测试中的耗电量,减少老化测试时间或使老化测试时间可预测,以改善老化测试的质量的例子说明如下。
步骤1
将测试数据分成至少两件子测试数据。例如,相对于图43所示的时间轴,测试数据可分成三件子测试数据。
步骤2
搜寻或判定用以输入每个子数据至所述芯片的位移频率,其可最小化在步骤1所分割的至少两子数据间的耗电量的差异。另一方式,搜寻或判定用以输入每个子数据至所述芯片的位移频率,其让每个子数据间的耗电量接近或等在老化测试的预计耗电量(或预计电流消耗)。例如,通过调整图43所示每个子数据的位移频率,其可能使基于测试数据的电量消耗接近Pburn-in
步骤3
使用在步骤2搜寻或确定的每个子数据的位移频率执行老化测试。例如,老化测试以图43所示,每个资料区段的耗电量变成接近Pburn-in的方式执行。
在步骤1至3的每件子数据是扫描区段或功能测试数据(用以测试芯片功能的数据)。
根据一些实施例,步骤1至3可在相同的装置中执行,或在相互不同的装置中执行,例如,在测试装置或如计算机的装置。
作为另一例子,通过优化老化测试中的耗电量,减少老化测试时间或使老化测试时间可预测,以改善老化测试的质量的例子说明如下。
步骤1
将测试数据分成至少两件子测试数据。
步骤2
搜寻或判定每个件子数据的最大位移频率,其让正常芯片测试的输出为正常。例如,最大位移频率是用以最小化测试时间的优化位移频率,或在优化位移频率上反映余量值所获得的位移频率。
步骤3
使用步骤2搜寻或判定每个件子数据的最大位移频率,测量或预计耗电量或电流消耗。
步骤4
搜寻一件子数据,其可导致在步骤2测量或预计的耗电量或电流消耗大于在最佳老化测试的耗电量或电流消耗参考值。例如,在最佳老化测试的耗电量或电流消耗参考值是图42或43的Pburn-in或Pmargin-high
步骤5
降低用步骤4所搜寻的子数据位移频率,调整子数据的耗电量成等于或接近老化测试的耗电量或电流消耗。例如,用于最佳老化测试的耗电量或电流消耗参考值可以是图42或43的Pburn-in,Pmargin-high或Pmargin-low。另一方面,在步骤3所测量或预计的每个个子数据的耗电量或电流消耗存在可能小于在最佳老化测试的耗电量或电流消耗参考值的情况。然而,这种情况下,如果增加所述对应子数据的位移频率,使所述子数据的耗电量等于或接近老化测试的耗电量或电流消耗,测试失败可能发生,此情况须注意。
步骤6
使用在步骤5调整的每个件子数据位移频率执行老化测试。
在本发明至少一实施例中,步骤1至6的每件子数据是扫描区段或功能测试数据。
根据实施例,步骤1至6可在相同的装置中执行,或在相互不同的装置中执行,例如,在测试装置,或如计算机的装置。
作为另一例子,搜寻或判定对应想要耗电量的位移频率的方法如下。用预定位移频率测量或预计子数据的耗电量值。而且,使用如数学式2的耗电量与位移频率间的关系可计算在αx C x Vdd 2的常数值。而且将所述常数值及所述想要耗电量带入数学式2可计算欲搜寻的位移频率。
在本发明至少一实施例中,通过增加或减少所述位移频率,测量或预计子数据的耗电量,可搜寻或判定所述位移频率。
在本发明至少一实施例中,利用用于测量或预计耗电量或电流消耗的装置或软件,可测量或预计子数据的耗电量。
图44是图示本发明至少一实施例涉及的为最小化老化测试时间,搜寻每个区段的一最佳位移频率的程序流程图。
如图44所示,用以最小化老化测试时间的装置分割至少一扫描模式成至少两扫描区段(S4400)。图5至10的方法可用于分割至少一扫描模式。用以最小化测试时间的装置多个位移频率分别分配给每个扫描区段(S4410)。在此,分别给每个扫描区段的位移频率是小于当所述扫描路径的输出模式变成与所对应预期模式不相同的位移频率。而且用以最小化老化测试时间的装置利用分配给每个个扫描区段的位移频率位移对应扫描区段,同时执行老化测试(S4420)。
根据实施例,分割扫描模式成扫描区段(S4400),分配位移频率给扫描区段(S4410),执行老化测试(S4420)等可在同一装置或相互不同的装置中执行。
用以最小化老化测试时间的装置可通过增减位移频率,找出在输出模式与预期模式相互开始变成不同之前的位移频率,或判断所搜寻的位移频率为可分配给所对应扫描区段的最大位移频率。根据实施例,每个扫描区段也可分配到比通过增减位移频率所搜寻到的最大位移频率小的位移频率。
以上说明的不同实施例可用以搜寻每个扫描区段的最佳位移频率,以执行根据本发明的老化测试。例如,用以最小化老化测试时间的装置执行图12至33所示至少一方法为每个扫描区段搜寻其最佳位移频率。此外,图36所示扫描模式组合的重新排序方法可用于减少老化测试时间与改善老化测试质量。
图45是图示本发明至少一实施例涉及的老化测试时间最小化装置的方块图。
参照图45,用以最小化老化测试时间的装置包括腔室控制部4500、位移部4510及位移频率搜寻部4520。
所述腔室控制部4500控制施与测试目标IC芯片的电压,温度,老化测试时间等。
在老化测试时,位移频率搜寻部4520搜寻每个扫描区段的最佳位移频率,以将扫描区段位移入所述IC芯片的扫描路径。例如,位移频率搜寻部4520根据上述的至少一实施例,判断每个扫描区段的最佳位移频率。此外,判断最佳位移频率的程序不仅可在用以最小化老化测试时间的装置中执行,而且判断最佳位移频率的程序可在另外的装置上执行,所判断的位移频率还可被位移频率搜寻部4520所使用。
所述位移部4510使用由所述位移频率搜寻部4520所搜寻的最佳位移频率将扫描区段位移入所述扫描路径,而老化测试由腔室控制部4500执行,从而最小化老化测试时间。
在本发明至少一些实施例中,可使用每个扫描区段的最佳位移频率仅执行老化测试,或执行测试芯片是否正常的芯片测试与老化测试。用以最小化老化测试时间的装置可与老化测试一起执行上述的扫描测试。
用以最小化老化测试时间的装置作为如图37及图38所示老化测试系统的一部份而实施。在本发明至少一实施例中,可使用每个扫描区段的最佳位移频率仅执行老化测试,或可连同测试芯片与老化测试一起执行。例如,可使用每个扫描区段被分配给最佳位移频率的扫描模式组仅执行老化测试,或老化测试及扫描测试两者一起执行。
用以最小化老化测试时间的装置可使用如图36所示重新排序扫描模式的方法,重新安排移入扫描路径的扫描模式顺序。这种情况下,由于在扫描模式组上的模式位置的重新排序导致扫描模式位移,在IC芯片上产生电路切换的一部分及切换操作次数可能与切换前不同,由此,耗电量等的电路操作特性,也会产生改变。由此,可分配于所述扫描模式(或扫描区段)的位移频率也会增加。因此,通过使用此等特性,整体老化测试时间可以进一步减少,或在重新排序后的扫描模式使用上述至少一实施例搜寻或判定每个扫描区段的最佳位移频率,老化测试质量可以进一步改善。此外,重新排序扫描模式不仅可在所述用以最小化老化测试时间的装置中执行,而可在如计算机的分开装置中执行,从而所述可用于所述用以最小化老化测试时间的装置。
图46是显示使用微控制部(MCU)处理器IC芯片及IC芯片的测试模式的实验结果的表格,其中用于判断位移频率的目标扫描区段与扫描模式具有一对一的相对应关系。图46显示每个扫描模式搜寻的位移频率,其使用电源限制(power-limit-based)方法在一范围内搜寻最可用位移频率,所述扫描模式耗电量不超过所述IC芯片的容许耗电量;及根据前述本发明一些实施例的一位移频率改变(shift-frequency-scaling-based)方法。
参照图46,使用位移频率改变(shift-frequency-scaling-based)方法的优化运用了图12所示的方法。此外,参照图46,基于电源限制(power-limit-based)方法和位移频率改变(shift-frequency-scaling-based)方法的最大位移频率差是由于相比于实际IC芯片,在IC芯片测试环境中除了IC芯片的耗电量之外还包括可影响位移频率的电路结构及特性,不同的物理条件与环境等。
图46所示的耗电量限制是当所述IC芯片以80MHz在功能模式操作时的平均耗电量,约为285mW。
通常,功能频率限制与会损伤IC芯片的频率限制或扫描频率限制可能会相互不同。例如,因为电路操作特性,耗电量,信号干扰效果,临界时间路径等可能在扫描测试模式及功能模式而不同,频率限制可能会因此变化。此外,其可受限于不同因素,包括电压差异,或供给电路上不同位置的电源等。
图46所示表格第1列是扫描模式数目,及第2列是所述IC芯片漏电电流的耗电量。第3列是使用25MHz的名义位移频率的扫描位移的动态耗电量。第四列是第2列与第3列的总和,其是当使用25MHz的名义位移频率,每个扫描模式的总耗电量。第五列是低于285mW耗电量限制,每个扫描模式的最大可用位移频率。
第六列是每个扫描模式使用第五列位移频率,测试微控制部(MCU)IC芯片的测试结果,包括测试正常及失败。
第七列是使用根据前述本发明至少一实施例的位移频率改变(shift-frequency-scaling-based)方法搜寻的最大位移率,全部测试结构均正常。
第八列是相对于基于电源限制(power-limit-based)方法的结果的第五列,基于位移频率改变(shift-frequency-scaling-based)方法的结果的第七列的增加/减少率(%)。
如图46所示,除第六扫描模式以电源限制(power-limit-based)方法扫描测试失败外,以位移频率改变(shift-frequency-scaling-based)方法搜寻的位移频率比平均值多约30%或更多。这种情况下可能有不同理由,例如基于发生位移的位值模式产生的错误临界路径,或者是基于扫描模式上存在的对测试结果无影响的无关位值等。
如图46所示第六扫描模式的情况,甚至当使用一位移频率,其允许所述扫描模式的耗电量不超过所述IC芯片的容许耗电量,可能发生所述IC芯片无法正常测试的情况。这是因为位移频率限制会被各种因素影响,包括因为IC芯片的电路结构的临界时间路径的信号延迟时间,信号干扰,供至电路不同位置的电压或电源差异,电路的物理特性等,及耗电量。其可进一步被测试环境及条件影响,如测试目标IC芯片的环境温度,芯片与芯片测试装置的连接状态等。
此外,通过改变位移频率搜寻最佳位移频率的程序中,甚至当所述扫描区段或扫描模式以一被移入但非故意改变的位值加载所述扫描路径时,撷取操作后的测试结果视所述IC芯片的电路结构,可能呈现为一扫描路径的正常位值模式。
因此,通过位移频率的增减将将扫描区段加载至扫描路径上,并执行扫描撷取操作之前,通过将IC芯片的主要输出端口的输出结果与预期结果进行比较,确认主要输出结果是否正常,可搜寻较精确的最佳位移频率。
图47是图示在IC芯片测试时可能产生的测试失败洞例子的曲线图。
为测试IC芯片,要设定所述测试装置,测试数据,或测试程序。在此,在正常位移频率范围内,正常IC芯片应所述是被判断为无错误,但非正常测试失败可能发生。此非正常测试失败(4700)被称为测试失败洞(failhole)、测试频率失败洞,或测试周期失败洞。
参照图47,当测试IC芯片时,测试频率失败4700发生在30MHz。所述测试失败洞可能导致IC芯片大量制造测试不稳定及影响良率,及因此所述情况应所述移除。
图48是图示本发明至少一实施例涉及的解决测试失败洞问题方法的曲线图。
参照图48,作为测试失败洞问题的解决方法的一例子,是一种使导致测试失败洞或影响产生测试失败洞的特定子数据的测试不能被执行的方法。
例如,可使用一种方法,其不允许导致测试失败洞的子数据的IC芯片测试输出数据与其预期数据进行比较。这种方法称为测试数据的测试数据遮蔽或预期结果遮蔽(expected result masking)。在下列实施例中,子数据是指扫描模式,扫描区段或功能测试数据。将测试数据遮蔽施与扫描模式称为扫描测试的扫描模式遮蔽或预期结果遮蔽。另一例中,可移除或不使用所述影响产生测试失败洞的子数据。
图48所示的情况中,可搜寻在30MHz中发生失败洞的第2子数据,并进行遮蔽(masking))或者移除。然而,遮蔽或移除子数据可能降低测试目标IC芯片的错误涵盖范围。此外,遮蔽或移除子数据可能导致错误IC芯片被测试成无错误。而且,由此会导致使错误IC芯片流失的现场中的场逃避问题(field escape problem)发生。
因此,作为解决测试失败洞的另一实施例,搜寻导致测试失败洞或影响产生测试失败洞的子数据及对应失败洞的位移频率,并在发生失败洞或者对失败洞产生影响的子数据中使用不产生失败洞的位移频率。
图49是图示本发明至少一实施例涉及的解决测试失败洞问题方法的流程图。
参照图49,所述测试装置选择构成测试数据的子数据(S4900)。在此,子数据可能是扫描模式或扫描区段。测试装置在增减子数据的位移频率的同时执行IC芯片测试(S4910),并基于IC芯片的正常或者失败的测试结果而搜寻可用于选择的子数据的频率或失败洞(S4920)。然后,对于所选择的子数据使用不造成失败洞的频率对IC芯片进行测试(S4930)。
当搜寻扫描模式或扫描区段的失败洞时,可使用上述改变位移频率以搜寻扫描模式或扫描区段的可用频率的各种搜寻方法。
图50是图示本发明至少一实施例涉及的解决所述测试失败的问题方法的另一例子的曲线图。
参考图50,等于或小于25MHz的频率可用在第2子数据,其造成失败洞5000。此子数据可能是扫描模式,扫描区段或功能测试数据。
当第1子数据,第2子数据及第3子数据分别是第1扫描模式,第2扫描模式及第3扫描模式时,第1扫描模式,第2扫描模式及第3扫描模式依序位移入所述测试目标IC芯片的扫描路径。使用上述改变位移频率以搜寻扫描模式或扫描区段的可用频率的各种搜寻方法,可用以搜寻第2扫描模式的失败洞5000,或包括在第2扫描模式的扫描区段。
例如,以增加或减少第2扫描模式的位移频率,使用允许第1扫描模式或第3扫描模式被正常移入扫描路径的位移频率,移入在第2扫描模式前后的第1扫描模式或第3扫描模式。使用扫描测试结果,所述失败洞及可用位移频率范围可用以为第2扫描模式搜寻。当搜寻失败洞及可用位移频率以为第2扫描模式搜寻时,第1扫描模式或第3扫描模式所使用的位移频率可为相同或彼此不同。
为了搜寻第2扫描模式对应的失败洞或者可用的频率范围的扫描测试程序中,可将第2扫描模式的输出模式,及在第2扫描模式前的第1扫描模式的输出模式与对应的预期模式进行比较。这种情况下,当第1扫描模式与第2扫描模式两者的测试结果皆为正常时,当前的位移频率是第2扫描模式的可用位移频率。另一例中,在第2扫描模式后的第3扫描模式的输出模式可和所对应的预期模式进行比较。这种情况下,当第2扫描模式与第3扫描模式两者的测试结果皆为正常是,当前的位移频率是第2扫描模式的可用位移频率。
导致测试失败洞或影响产生测试失败洞的扫描模式可能是第1扫描模式或第3扫描模式,其位在第2扫描模式的前后。这是因为,当第1扫描模式的输出模式移出时,第2扫描模式的位移频率可影响扫描路径上的位值。此外,当第2描模式的测试结果移出时,扫描路径的位值可被将第3扫描模式移入扫描路径的位移频率所影响。因此,当由特定扫描模式或扫描区段测试结果存在测试失败洞,其应检查位于目标扫描模式或扫描区段前后的扫描模式或扫描区段是否影响所述失败洞产生。
例如,使用频率其允许第2扫描模式正常移入第2扫描模式的扫描路径,通过增加第3扫描模式的位移频率,检查其测试结果。如果第2扫描模式的测试结果是失败,及第3扫描模式的测试结果是正常,使第2扫描模式无失败的测试结果的位移频率应所述被使用成第3扫描模式的位移频率。此可免除出现在第2扫描模式测试结果的失败洞对第3扫描模式的影响。
在本发明至少一实施例中,扫描测试是以增加或减少位移频率而执行。当在执行所述扫描测试时,一特定位移频率产生失败洞,搜寻一特定扫描模式,其使用对应至所述失败洞的位移频率的扫描测试的结果会是失败的。接着,使用低于所述特定扫描模式产生失败洞的位移频率及位于所述特定扫描模式前后的扫描模式执行芯片测试。即,低于会产生失败洞位移频率的产生失败洞的位移频率可用于会影响产生失败洞的邻近扫描模式。
在本发明至少一实施例中,使用改变位移频率大小以搜寻会产生失败洞的扫描区段或扫描模式,及其相对应的位移频率。考虑制造程序及测试程序,在位移频率余量范围内不导致生失败洞的位移频率可用于所述扫描区段或扫描模式。例如,高于对应失败洞的位移频率,且在余量范围内不会导致生失败洞的位移频率,可用于所述扫描区段或扫描模式。另一例子,高于对应失败洞的位移频率,且在余量范围内不会导致生失败洞的位移频率,可用于对应失败洞的扫描区段或扫描模式的邻近扫描区段或扫描模式。
如上所述,在芯片大量制造测试中使用对特定子数据不造成失败洞的频率或频率周期可解决因遮蔽(masking))或移除子数据而使芯片错误涵盖率(fault coverage)降低的问题。此外,也可免除场逃避问题(field escape problem),其让错误(faulty)IC芯片流失到现场中。不造成失败洞的频率或频率周期范围可于芯片测试中搜寻及使用。
图51是图示本发明至少一实施例涉及的用于减少测试时间及改善良率的搜寻位移频率的方法的曲线图。
参照图51,假设至少两扫描区段以相互不同的位移频率移入所述扫描路径以测试芯片。在此,参考允许所述至少两扫描区段的测试结果皆为正常的一特定位移频率5100,在具有较小测试正常余量的第1扫描区段中使用余量增加的位移频率。此外,在具有较大测试正常余量的第2扫描区段中使用余量减小的位移频率。
扫描区段的位移频率余量可用所述扫描区段的测试通过或失败信息加以搜寻或判定。例如,可搜寻或判定余量,其指出频率或频率周期间的间隔,其界定扫描区段测试通过或测试失败及特定位移频率5100的界线。使用反映余量的位移频率的扫描区段及在其前的扫描区段两者的测试结果应所述都是正常。
如果增加位移频率的余量较小的扫描区段的余量,则芯片生产程序,测试环境等的变化,对测试芯片时产生的影响较少。此因而可改善芯片的良率。
此外,如果减小具有较大位移频率或者位移频率的周期的余量的扫描区段的余量,可节省测试时间。
因此,考虑每个扫描区段的频率余量,可一起获得改善芯片的良率及减少测试时间,两者是交易关系。
参照图51,第1扫描区段及第2扫描区段两者在20MHz的名义位移频率5100中皆通过测试。参考20MHz,当第1扫描区段的位移频率或者位移频率的周期的余量小于预定参考值时,测试装置增加第1扫描区段的位移频率余量,以改善芯片大量制造测试的良率。即,第1扫描区段的位移频率被改变为小于20MHz的位移频率,以允许第1扫描区段的位移频率符合所述参考值。另一方面,参考20MHz,当第2扫描区段的位移频率或者位移频率的周期的余量大于预定参考值时,测试装置减少第2扫描区段的位移频率或位移频率的周期的余量,以减少芯片大量制造测试时间。即,第2扫描区段的位移频率被改变为大于20MHz的位移频率,以允许第2扫描区段的位移频率符合所述参考值。
当以搜寻至少两扫描区段的最佳位移频率以执行芯片测试,可能会导致两相邻扫描区段间边界位的位移时序问题。
如果将扫描区段S1的最后位值及其之后依次移入扫描路径的扫描区段S2的第1位值间的位移频率的周期称为CP_界线(Clock Period of Boundary Bits),则当找到扫描区段S2的最大位移频率时,扫描区段S1与扫描区段S2间的第1CP_界线可能不同于最大位移频率的周期被确定的扫描区段S1与扫描区段S2间的第2CP_界线。例如,当第2CP_界线小于第1CP_界线,使用扫描区段S1与扫描区段S2的扫描测试可能将正常的芯片认定为是故障芯片。
这种情况下,下列方法可用以解决两相邻扫描区段间边界位值的位移时序问题。
(1)当扫描区段S1的最大位移频率已判定,在搜寻接着扫描区段S1后移入的扫描区段S2的最大位移频率时,使用已判定的扫描区段S1的最大位移频率于扫描区段S1。
(2)执行扫描位的位移操作的时钟的边缘设成位置对应时钟定义周期(clockdefinition period,CDP)的边界,或位置接近所述边界。时钟定义周期(CDP)是时间间隔以定义时钟形状,其定义时钟上升时间与下降时间。时钟定义周期(CDP)可在测试装置或测试数据中设定。
(3)销售哦扫描区段S1的最后一位值与描区段S2的第1位值间调整位移频率周期或位移时间间隔,其中描区段S2是在扫描区段S1后依序移入至扫描路径。例如,当使用具有扫描区段S1与描区段S2的扫描模式执行扫描测试时,可利用将正常芯片判定为正常的位移频率周期进行调整。位移频率周期或位移时间间隔可界定在测试数据或测试装置。例如,当产生将最佳位移频率分配给扫描区段S1与描区段S2的新测试数据时,扫描区段S1的最后一位值与描区段S2的第1位值的时序信息也重新产生,所述时序信息上可分配有位移频率的周期,所述位移频率在利用包括扫描区段S1与描区段S2的扫描模式进行扫描测试时,可将正常芯片判定正常。例如,所述名义位移频率周期可以使用成所述时序信息。
(4)因为两相邻扫描区段间边界位的位移时序问题,而使正常芯片被判定为故障芯片时,增加包括所述边界位值的扫描模式或者扫描区段的位移频率周期。
扫描位移频率信息或扫描区段信息包括使用本发明所获得的扫描位移频率信息可储存在计算机可读纪录媒体,如计算机可读程序代码或数据。程序代码的一例子包括计算机可执行程序或软件。程序代码或数据可在扫描测试装置,老化测试装置及计算机等中执行及使用。计算机可读纪录媒体可为任何数据储存装置,其可储存计算机系统可读的数据。计算机可读纪录媒体例子包括ROM,RAM,CD-ROM,磁带,软盘,光数据储存装置。
计算机可读记录媒体可分布在可连接的计算机系统的网络中,因而计算机可读码能够以分布方式储存及执行。在本发明至少一实施例中,计算机程序码或数据可储存在服务器,及客户端计算机可连接至所述服务器以使用或下载所述程序代码或数据。例如,程序代码可在服务器计算机或客户端计算机执行。
至此为止,以优选实施例为中心对本发明进行了了解。本发明所属技术领域中具有一般知识的技术人员应该明白在不超出本发明本质特征的范围内可具有各种变形的形态。因此揭示的实施例应以说明的观点而非限定的观点进行考虑。本发明的范围不基于前述的说明而是基于权利要求书,而且,与本发明的范围等同的范围内的所有区别点应属于本发明。

Claims (21)

1.一种IC芯片的测试装置,其特征在于,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并比较预定预期值和所述扫描输出端口输出的输出值,并执行扫描测试以检查所述IC芯片是否存在缺陷,所述测试装置包括:
位移频率搜寻部,通过将目标扫描区段移入至所述扫描路径,并搜寻所述扫描测试结果为正常或者失败时的位移频率,所述目标扫描区段是欲从属于扫描样本群的两个以上的扫描区段中搜寻可用的位移频率的扫描区段,
当搜寻所述目标扫描区段的所述位移频率时,所述位移频率搜寻部,通过增加或者减小所述目标扫描区段的位移频率使其与的移入所述扫描路径的其他扫描区段中至少一个扫描区段不同,或者设置为不同的位移频率,以搜寻所述扫描测试结果为正常或者失败的位移频率。
2.如权利要求第1所述的测试装置,其特征在于,当搜寻所述目标扫描区段的可用位移频率时,所述位移频率搜寻部通过增加或者减小所述目标扫描区段的位移频率,以搜寻所述扫描测试结果由正常变为失败或由失败变为正常时区域的位移频率。
3.如权利要求1或2所述的测试装置,其特征在于,当搜寻所述目标扫描区段的可用位移频率时,当以使用所述目标扫描区段的第1位移频率所获得的第1扫描测试结果和使用所述目标扫描区段的前任意一个扫描区段的与第1位移频率不同的第2位移频率所获得的第2扫描测试结果皆为正常时,所述位移频率搜寻部将所述第1位移频率确定为所述目标扫描区段的可用位移频率。
4.如权利要求1或3所述的测试装置,其特征在于,所述IC芯片包括晶圆上的芯片或封装的芯片。
5.一种IC芯片的测试装置,其特征在于,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并通过比较预定预期值和所述扫描输出端口输出的输出值,并执行扫描测试以检查所述IC是否存在缺陷,所述测试装置包括:
位移频率搜寻部,通过执将包括第1扫描区段的第1扫描模式移入所述扫描路径并执行测试的第1扫描测试步骤,及将包括第1扫描区段后的第2扫描区段的第2扫描模式移入所述扫描路径并执行测试的第2扫描测试步骤,以搜寻第2扫描区段可用的位移频率,
所述位移频率搜寻部,在第1扫描测试步骤中以第1位移频率位移所述第1扫描区段至所述扫描路径,在第2扫描测试步骤中以与第1位移频率不同的第2位移频率位移所述第2扫描区段至所述扫描路径,且搜寻第2扫描区段的可用位移频率时,当第1扫描测试步骤的结果与第2扫描测试步骤的结果皆为正常时,将所述第2位移频率确定为所述第2扫描区段的可用位移频率。
6.如权利要求5所述的测试装置,其特征在于,所述第1扫描区段是第1扫描模式或第1扫描模式的一部分,而所述第2扫描区段是第2扫描模式或第2扫描模式的一部分。
7.如权利要求5或6所述的测试装置,其特征在于,当搜寻第2扫描区段的可用位移频率时,所述位移频率搜寻部用通过增加或减少第2位移频率使其不同于位移至所述扫描路径的其他扫描区段中的至少一扫描区段,或将所述第2位移频率设置为其他频率,以搜寻第2扫描区段的位移频率。
8.如权利要求5至8任意一个所述的测试装置,其特征在于,所述IC芯片包括晶圆上的芯片或封装的芯片。
9.一种IC芯片的测试方法,其特征在于,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试方法通过所述扫描输入端口输入扫描样本至扫描路径,并通过比较预定预期值与所述扫描输出端口输出的输出值,并执行扫描测试以检查所述IC是否存在缺陷的,所述扫描测试包括:
搜寻位移频率步骤,通过将目标扫描区段移入至所述扫描路径,并搜寻所述扫描测试结果为正常或者失败时的位移频率,所述目标扫描区段是欲从属于扫描样本群的两个以上的扫描区段中搜寻可用的位移频率的扫描区段,
当搜寻所述目标扫描区段的所述位移频率时,所述搜寻位移频率步骤包括,增加或者减小所述目标扫描区段的位移频率使其与的移入所述扫描路径的其他扫描区段中至少一个扫描区段不同,或者设置为不同的位移频率,以搜寻所述扫描测试结果为正常或者失败的位移频率的步骤。
10.如权利要求9所述的测试方法,其特征在于,当搜寻所述目标扫描区段的可用位移频率时,所述搜寻位移频率的步骤包括通过增加或者减小所述目标扫描区段的位移频率,以搜寻所述扫描测试结果由正常变为失败或由失败变为正常时区域的位移频率的步骤。
11.如权利要求9或10所述的测试方法,其特征在于,当搜寻所述目标扫描区段的可用位移频率时,当以使用所述目标扫描区段的第1位移频率所获得的第1扫描测试结果和使用所述目标扫描区段的前任意一个扫描区段的与第1位移频率不同的第2位移频率所获得的第2扫描测试结果皆为正常时,所述搜寻位移频率的步骤包括将所述第1位移频率确定为所述目标扫描区段的可用位移频率的步骤。
12.如权利要求9至11任意一项所述的测试方法,其特征在于,所述IC芯片包括晶圆上的芯片或封装的芯片。
13.一种IC芯片的测试方法,其特征在于,所述测试方法用于IC芯片的测试装置,所述IC芯片包括测试目标电路、扫描输入端口及扫描输出端口,所述测试装置通过所述扫描输入端口输入扫描样本至扫描路径,并比较预定预期值与所述扫描输出端口输出的输出值,并执行扫描测试以检查所述IC芯片是否存在缺陷,所述扫描测试包括:
搜寻位移频率步骤,通过执行将包括第1扫描区段的第1扫描模式移入所述扫描路径并执行测试的所述第1扫描测试步骤,及将包括第1扫描区段后的第2扫描区段的第2扫描模式移入所述扫描路径并执行测试的所述第2扫描测试步骤,以搜寻第2扫描区段的可用的位移频率,
所述搜寻位移频率步骤包括在第1扫描测试中以第1位移频率将所述第1扫描区段位移至所述扫描路径,而在第2扫描测试步骤中以与第1位移频率不同的第2位移频率将所述第2扫描区段位移至所述扫描路径的过程,及当搜寻第2扫描区段的可用位移频率时,当第1扫描测试步骤的结果与第2扫描测试步骤的结果皆为正常时,将所述第2位移频率确定为所述第2扫描区段的可用位移频率。
14.如权利要求13所述的测试方法,其特征在于,所述第1扫描区段是第1扫描模式或第1扫描模式的一部分,而所述第2扫描区段是第2扫描模式部或第2扫描模式的一部分。
15.如权利要求13或14所述的测试方法,其特征在于,在搜寻第2扫描区段的可用位移频率时,所述搜寻位移频率的步骤包括通过使第2位移频率增加或减少或者将第2位移频率设置成其他频率以与位移至所述扫描路径的其他扫描区段中的至少一扫描区段不同,以搜寻所述第2扫描区段的位移频率的过程。
16.如权利要求13至15任意一项所述的测试方法,其特征在于,所述IC芯片包括晶圆上的芯片或封装的芯片。
17.一种IC芯片的测试系统,其特征在于,所述测试系统包括:
测试主机,其用以控制所述IC芯片的扫描测试;
主计算机,其内置于所述测试主机内或另行提供,所述主计算机包括处理器;
测试头,电连接至所述测试主机,用以输入测试数据信号给所述IC芯片;及
如权利要求1至8任意一项所述的测试装置。
18.如权利要求17所述的测试系统,其特征在于,所述主计算机包括所述测试装置。
19.一种计算机可读性记忆媒体,其特征在于,其记录有用于执行如权利要求9至16任意一项所述的测试方法的计算机程序。
20.一种计算机可读记忆媒体,其特征在于,其记录位移频率有关的信息,所述位移频率为通过执行权利要求9至16任意一项所述的测试方法被判定成每个目标扫描区段的可用位移频率。
21.一种计算机可读记忆媒体,其特征在于,其记录有包括所述目标扫描区段的测试数据,所述测试数据通过执行如权利要求9至16任意一项项所述的测试方法,以搜寻每个目标扫描区段的可用位移频率。
CN201810230288.XA 2014-10-29 2018-03-20 Ic芯片的测试装置、方法及系统和计算机可读记忆媒体 Active CN109061432B (zh)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
KR20140148443 2014-10-29
KR1020160052368A KR20170049357A (ko) 2014-10-29 2016-04-28 칩 테스트 시간 최소화 방법 및 그 장치
KR10-2017-0053361 2017-04-26
KR1020170053361A KR101848480B1 (ko) 2016-04-28 2017-04-26 Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템
JP2017-087586 2017-04-26
KR1020170053344A KR20170123260A (ko) 2016-04-28 2017-04-26 Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템
JP2017087586A JP6209299B1 (ja) 2016-04-28 2017-04-26 Icチップテスト装置、icチップテスト方法、及びicチップテストシステム
KR10-2017-0053344 2017-04-26
KRPCT/KR2017/004486 2017-04-27
PCT/KR2017/004486 WO2017188749A1 (ko) 2016-04-28 2017-04-27 Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템

Publications (2)

Publication Number Publication Date
CN109061432A true CN109061432A (zh) 2018-12-21
CN109061432B CN109061432B (zh) 2020-09-04

Family

ID=56024822

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810230288.XA Active CN109061432B (zh) 2014-10-29 2018-03-20 Ic芯片的测试装置、方法及系统和计算机可读记忆媒体

Country Status (3)

Country Link
JP (1) JP2018185343A (zh)
KR (6) KR101618822B1 (zh)
CN (1) CN109061432B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813481B (zh) * 2022-10-25 2023-08-21 瑞昱半導體股份有限公司 用來測試具有除錯功能之晶片上時脈控制器的測試裝置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6209299B1 (ja) * 2016-04-28 2017-10-04 イノチオ インクInnotio Inc. Icチップテスト装置、icチップテスト方法、及びicチップテストシステム
DE112020000640T5 (de) 2019-01-31 2021-11-25 Tektronix, Inc. Systeme, Verfahren und Vorrichtungen für Hochgeschwindigkeits-Eingangs-/Ausgangs-Margin-Tests
US11940483B2 (en) 2019-01-31 2024-03-26 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
CN113740701B (zh) * 2020-05-28 2024-03-08 第一检测有限公司 环境控制设备及芯片测试系统
KR102486624B1 (ko) * 2020-10-15 2023-01-11 (주)큐랩스 설계된 회로도에서의 회로 및 부품 검증 시스템 및 방법
WO2022115494A1 (en) * 2020-11-24 2022-06-02 Tektronix, Inc. Systems, methods, and devices for high-speed input/output margin testing
CN112526319B (zh) * 2020-11-25 2022-11-22 海光信息技术股份有限公司 芯片测试方法、装置、处理器芯片及服务器
CN113075487A (zh) * 2021-03-31 2021-07-06 读书郎教育科技有限公司 一种工厂控制老化测试时长的方法
KR102373560B1 (ko) * 2021-08-18 2022-03-14 (주)이노티오 Ic 칩 스캔 테스트를 위한 테스트 데이터의 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치
US20230184821A1 (en) * 2021-12-09 2023-06-15 Nanya Technology Corporation Appratus for performing multiple tests on a device under test
CN116581043B (zh) * 2023-04-20 2023-12-12 深圳市晶存科技有限公司 芯片分类方法、装置、电子设备及计算机可读存储介质
CN116953490B (zh) * 2023-09-19 2023-12-26 西安智多晶微电子有限公司 一种测量fpga芯片内部电压降的方法、装置和系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (ja) * 2004-08-24 2006-03-09 Seiko Epson Corp クリティカルパステスト方法、集積回路装置、クリティカルパステスト方式及び集積回路装置の製造方法
CN101133340A (zh) * 2005-03-07 2008-02-27 爱德万测试株式会社 测试装置、测试方法、电子元件的生产方法、测试模拟器以及测试模拟方法
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
CN103018661A (zh) * 2009-09-01 2013-04-03 新诺普系统公司 扫描测试系统
CN105606983A (zh) * 2014-11-12 2016-05-25 德州仪器公司 用于实现受测试装置(dut)的扫描测试的系统及方法
CN105988464A (zh) * 2015-03-20 2016-10-05 瑞萨电子株式会社 半导体装置、电子装置以及用于半导体装置的自诊断方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100002357A (ko) * 2008-06-30 2010-01-07 삼성전자주식회사 멀티 번인 테스트 방법
KR101170433B1 (ko) 2010-09-10 2012-08-07 주식회사 윈탑 번인 보드 테스트 장치 및 방법, 이를 이용한 번인 보드 실장 장치
KR20120102876A (ko) 2011-03-09 2012-09-19 삼성전자주식회사 반도체 장치 및 이를 포함하는 테스트 시스템
KR101309079B1 (ko) 2012-02-29 2013-09-17 주식회사 유니테스트 번인 테스터
JP2014001937A (ja) 2012-06-15 2014-01-09 Renesas Electronics Corp スキャンテスト方法、プログラムおよびスキャンテスト回路
US9395414B2 (en) 2012-12-28 2016-07-19 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (ja) * 2004-08-24 2006-03-09 Seiko Epson Corp クリティカルパステスト方法、集積回路装置、クリティカルパステスト方式及び集積回路装置の製造方法
CN101133340A (zh) * 2005-03-07 2008-02-27 爱德万测试株式会社 测试装置、测试方法、电子元件的生产方法、测试模拟器以及测试模拟方法
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
CN103018661A (zh) * 2009-09-01 2013-04-03 新诺普系统公司 扫描测试系统
CN105606983A (zh) * 2014-11-12 2016-05-25 德州仪器公司 用于实现受测试装置(dut)的扫描测试的系统及方法
CN105988464A (zh) * 2015-03-20 2016-10-05 瑞萨电子株式会社 半导体装置、电子装置以及用于半导体装置的自诊断方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813481B (zh) * 2022-10-25 2023-08-21 瑞昱半導體股份有限公司 用來測試具有除錯功能之晶片上時脈控制器的測試裝置

Also Published As

Publication number Publication date
KR20180006480A (ko) 2018-01-17
KR101618822B1 (ko) 2016-05-18
KR101649708B1 (ko) 2016-08-23
JP2018185343A (ja) 2018-11-22
KR20160067815A (ko) 2016-06-14
KR20160052348A (ko) 2016-05-12
CN109061432B (zh) 2020-09-04
KR101923142B1 (ko) 2018-11-28
KR20160051491A (ko) 2016-05-11
KR20170049357A (ko) 2017-05-10

Similar Documents

Publication Publication Date Title
CN109061432A (zh) Ic芯片的测试装置、方法及系统和计算机可读记忆媒体
TWI629493B (zh) 積體電路晶片測試裝置,方法及系統
US6868532B2 (en) Method and program product for designing hierarchical circuit for quiescent current testing and circuit produced thereby
US20150219714A1 (en) Counterfeit microelectronics detection based on capacitive and inductive signatures
US10067186B2 (en) Method and apparatus for generating featured test pattern
JP6209299B1 (ja) Icチップテスト装置、icチップテスト方法、及びicチップテストシステム
US20180252768A1 (en) Test Application Time Reduction Using Capture-Per-Cycle Test Points
CN109725248A (zh) 一种识别老化回收集成电路的片上检测系统及测试方法
US7117415B2 (en) Automated BIST test pattern sequence generator software system and method
KR101848480B1 (ko) Ic 칩 테스트 장치, ic 칩 테스트 방법, 및 ic 칩 테스트 시스템
Yilmaz et al. Adaptive testing: Conquering process variations
JP2018010005A (ja) Icチップテスト装置、icチップテスト方法、及びicチップテストシステム
US6947871B2 (en) Yield/quality improvement using calculated failure rate derived from multiple component level parameters
US10338137B1 (en) Highly accurate defect identification and prioritization of fault locations
KR102373560B1 (ko) Ic 칩 스캔 테스트를 위한 테스트 데이터의 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치
TW201625973A (zh) 用於最小化晶片測試時間之方法及裝置
Crafts et al. Testing the IBM Power 7™ 4 GHz eight core microprocessor
US6243853B1 (en) Development of automated digital libraries for in-circuit testing of printed curcuit boards
Wang et al. Cost efficient methods to improve performance of broadcast scan
US20170010320A1 (en) Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input
Kampmann et al. Design-for-fast: supporting x-tolerant compaction during faster-than-at-speed test
JP4677947B2 (ja) 試験順序決定方法、試験順序決定装置および試験順序決定プログラム
Kay et al. Unified fault management using Logic Built-In Self-Test and logic bitmap
AL Field Test for Ensuring the Functional Safety of Automotive System

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant