CN108807277B - 栅极环绕半导体器件及其制作方法 - Google Patents

栅极环绕半导体器件及其制作方法 Download PDF

Info

Publication number
CN108807277B
CN108807277B CN201810374721.7A CN201810374721A CN108807277B CN 108807277 B CN108807277 B CN 108807277B CN 201810374721 A CN201810374721 A CN 201810374721A CN 108807277 B CN108807277 B CN 108807277B
Authority
CN
China
Prior art keywords
gate
region
carbon
work function
function metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810374721.7A
Other languages
English (en)
Other versions
CN108807277A (zh
Inventor
权兑勇
权五成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108807277A publication Critical patent/CN108807277A/zh
Application granted granted Critical
Publication of CN108807277B publication Critical patent/CN108807277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明提供一种栅极环绕半导体器件及其制作方法。所述方法包括:提供如下所述的半导体衬底,栅极开口包括有源鳍与一个相邻的栅极环绕沟道之间以及两个相邻的栅极环绕沟道之间的栅极空间;在第一及第二区中在栅极开口的底部及侧壁上及在栅极环绕沟道上及围绕栅极环绕沟道形成填充栅极空间的第一部分的介电层;在第一及第二区中在介电层上形成填充栅极空间的第一功函数金属;在第一及第二区中形成第一碳基掩模以覆盖栅极环绕沟道;在第一及第二区中在第一碳基掩模上形成第二碳基掩模至高于栅极开口;移除第二区中的第一及第二碳基掩模;使用第一及第二碳基掩模进行蚀刻移除第二区中的第一功函数金属;移除第一及第二碳基掩模;形成第二功函数金属。

Description

栅极环绕半导体器件及其制作方法
[相关申请的交叉参考]
本申请主张在2017年4月26日在美国专利与商标局提出申请的美国临时专利申请第62/490,344号的权利以及在2017年12月26日在美国专利与商标局提出申请的美国非临时专利申请第15/854,343号的权利,所述美国临时专利申请及美国非临时专利申请的公开内容全文并入本申请供参考。
技术领域
本公开大体来说涉及制作栅极环绕(gate all around)半导体器件的方法及栅极环绕半导体器件的结构。
背景技术
随着半导体器件的芯片密度持续增大且速度持续提高,晶体管的大小已相应稳步减小。对于平面晶体管来说,随着尺寸减小,造成短沟道效应(short channel effect)的可能性会增大。为解决这一问题,半导体产业已迁移到多栅极器件,且还从平面结构转变成例如鳍型场效应晶体管(fin field effect transistor,FinFET)等三维(three-dimensional,3D)架构,鳍型场效应晶体管是与现有平面器件相比提供更好的性能及更高的能量效率的多栅极三维晶体管。对于鳍型场效应晶体管来说,薄的硅鳍(silicon fin)被两个或更多个栅极包绕以对沟道提供更好的电控制,且由此帮助减小泄漏电流并克服短沟道效应。
随着晶体管的大小持续缩减,鳍型场效应晶体管器件面临新的挑战,例如(举例来说)沟道宽度变化、寄生电容及寄生电阻。栅极环绕晶体管已成为在未来技术世代中取代鳍型场效应晶体管器件的有前景的候选项。利用环绕沟道的四个侧放置的栅极,晶体管会提供优异的静电控制,从而使互补金属氧化物半导体(complementary metal–oxide–semiconductor,CMOS)器件能够按比例缩小。
一般来说,为制作互补金属氧化物半导体场效应晶体管(CMOS field effecttransistor,CMOSFET),会沉积构成互补金属氧化物半导体的n型场效应晶体管(n-typeFET,NFET)的功函数金属(work function metal,WFM)及p型场效应晶体管(p-type FET,PFET)的功函数金属。在平面场效应晶体管或鳍型场效应晶体管中,对n型场效应晶体管及p型场效应晶体管中的每一者沉积各自的功函数金属可利用光刻工艺及各向异性蚀刻工艺实施。然而,当制作具有在衬底上垂直排列有若干沟道的栅极环绕结构的场效应晶体管时,由于沟道可能会阻挡蚀刻剂到达被在光刻工艺中使用的碳基材料填充的洞穴,因此可能难以实施各向异性蚀刻工艺。因此,对栅极环绕结构的n型场效应晶体管及p型场效应晶体管中的每一者沉积各自的功函数金属可需要与现有的制作工艺不同的制作工艺。
发明内容
根据本公开的一方面,提供一种制作栅极环绕半导体器件的方法,所述方法包括:提供半导体衬底,所述半导体衬底具有在第一区及靠近所述第一区的第二区中在第一方向上延伸的多个有源鳍、堆叠在所述多个有源鳍中的每一者上方的多个栅极环绕沟道以及跨越所述第一区及所述第二区在第二方向上延伸并与所述多个有源鳍交叉的多个栅极开口,其中所述多个栅极开口包括位于所述多个有源鳍中的每一者与所述多个栅极环绕沟道中的一个相邻的栅极环绕沟道之间以及位于所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的洞穴状栅极空间;在所述第一区及所述第二区中在所述多个栅极开口中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道中的每一者上及围绕所述多个栅极环绕沟道中的每一者形成介电层,所述介电层填充所述洞穴状栅极空间中的每一者的一部分;在所述第一区及所述第二区中在所述介电层上形成第一功函数金属,所述第一功函数金属填充所述洞穴状栅极空间中的每一者的一部分;通过化学气相沉积(CVD)工艺在所述第一区及所述第二区中形成第一碳基掩模,以将所述多个栅极开口填充至至少覆盖所有所述多个栅极环绕沟道的高度;在所述第一区及所述第二区中在所述第一碳基掩模的顶部上形成第二碳基掩模直至高于所述多个栅极开口的高度;移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模;通过使用在所述第一区中余留的第一碳基掩模及余留的第二碳基掩模作为蚀刻掩模进行蚀刻来移除所述第二区中的所述第一功函数金属;移除所述第一区中的余留的所述第一碳基掩模及余留的所述第二碳基掩模;以及在所述第二区中的所述介电层上以及在所述第一区中的所述第一功函数金属上形成第二功函数金属。
根据本公开的另一方面,提供一种制作栅极环绕半导体器件的方法,所述方法包括:提供半导体衬底,所述半导体衬底具有跨越第一区及靠近所述第一区的第二区延伸的多个栅极开口及在所述多个栅极开口中的每一者中堆叠在所述半导体衬底上方的多个栅极环绕沟道,其中所述多个栅极开口包括位于所述半导体衬底与所述多个栅极环绕沟道中的相邻栅极环绕沟道之间以及位于所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的洞穴状栅极空间;在所述第一区及所述第二区中在所述多个栅极开口中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道中的每一者上及围绕所述多个栅极环绕沟道中的每一者形成介电层,所述介电层填充所述洞穴状栅极空间中的每一者的一部分;在所述第一区及所述第二区中在所述介电层上形成第一功函数金属,所述第一功函数金属填充所述洞穴状栅极空间中的每一者的一部分,并且对于被所述第一功函数金属覆盖的所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的所述洞穴状栅极空间中的每一者,留下大小为约1nm或大于1nm的间隙;通过化学气相沉积(CVD)工艺在所述第一区及所述第二区中形成第一碳基掩模,以将所述多个栅极开口填充至至少覆盖所有所述多个栅极环绕沟道的高度;在所述第一区及所述第二区中在所述第一碳基掩模的顶部上形成第二碳基掩模直至高于所述多个栅极开口的高度,且所述第二碳基掩模具有平坦化顶表面;通过光刻工艺及各向异性蚀刻工艺移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模;通过使用在所述第一区中余留的第一碳基掩模及余留的第二碳基掩模作为蚀刻掩模进行蚀刻来移除所述第二区中的所述第一功函数金属;移除所述第一区中的余留的所述第一碳基掩模及余留的所述第二碳基掩模;以及在所述第二区中的所述介电层上以及在所述第一区中的所述第一功函数金属上形成第二功函数金属。
根据本公开的另一方面,提供一种栅极环绕半导体器件,所述栅极环绕半导体器件包括:半导体衬底,具有p型场效应晶体管(PFET)区及靠近所述p型场效应晶体管区的n型场效应晶体管(NFET)区;栅极环绕沟道的垂直堆叠,在所述p型场效应晶体管区及所述n型场效应晶体管区中位于所述半导体衬底上;介电层,在所述p型场效应晶体管区及所述n型场效应晶体管区中形成在所述栅极环绕沟道中的每一者上且围绕所述栅极环绕沟道中的每一者;p型功函数金属,在所述p型场效应晶体管区中形成在所述栅极环绕沟道中的每一者的所述介电层上且围绕所述栅极环绕沟道中的每一者的所述介电层;以及n型功函数金属,在所述n型场效应晶体管区中形成在所述栅极环绕沟道中的每一者的所述介电层上且围绕所述栅极环绕沟道中的每一者的所述介电层,其中所述n型功函数金属包括多个层,所述多个层中的一个层包含所述p型功函数金属的材料且具有介于约1nm到8nm范围内的厚度,且所述p型场效应晶体管区中包含所述p型功函数金属的所述栅极环绕沟道中的一者与所述n型场效应晶体管区中包含所述n型功函数金属的所述栅极环绕沟道中的相邻一者之间的空间的大小小于包括以下的大小的两倍:所述栅极环绕沟道的一半宽度、所述介电层的厚度及所述p型功函数金属的厚度。
通过沉积所述第一功函数金属来围绕栅极环绕沟道并填充洞穴状栅极空间,同时对于洞穴状栅极空间中的每一者留下大小为约1nm或大于1nm的间隙,且通过使用化学气相沉积(chemical vapor deposition,CVD)工艺对栅极环绕结构沉积第一碳基掩模而使得不填充洞穴状栅极空间或极少地填充洞穴状栅极空间,可使得能够实现互补金属氧化物半导体(CMOS)器件图案化。
附图说明
通过结合附图阅读以下优选实施例的详细说明可最好地理解本公开,且在附图中:
图1是根据本公开实例的制作栅极环绕半导体器件的方法的流程图。
图2是表示根据本公开实例的栅极环绕半导体器件的示意性俯视图。
图3是表示根据本公开实例的堆叠在衬底上的多个栅极环绕沟道的示意性剖视图,其中围绕栅极环绕沟道形成有介电层且在介电层上形成有第一功函数金属。
图4是表示根据本公开实例的被形成为将栅极开口填充至覆盖所有栅极环绕沟道的高度的第一碳基掩模的示意性剖视图。
图5是表示根据本公开实例的在第一碳基掩模之上形成至高于栅极开口的顶部的高度的第二碳基掩模的示意性剖视图,其中在第二碳基掩模之上形成有含硅的抗反射涂层,且在含硅的抗反射涂层之上形成有光刻胶图案。
图6是表示根据本公开实例的余留在第一区中且在第二区中被移除的第一碳基掩模及第二碳基掩模的示意性剖视图。
图7是表示根据本公开实例的被移除的第二区中的第一功函数金属的示意性剖视图。
图8是表示根据本公开实例的形成在第二区中的介电层上以及形成在第一区中的第一功函数金属上的第二功函数金属的示意性剖视图。
图9是表示根据本公开实例的形成在栅极开口中的栅极金属线的示意性剖视图。
由于图1至图9中的附图旨在用于说明性目的,因此附图中的元件未必按比例绘制。举例来说,为清晰起见可放大或夸大元件中的一些元件。
具体实施方式
本公开大体来说涉及制作栅极环绕半导体器件的方法及栅极环绕半导体器件的结构。
根据本公开的实例,提供一种形成栅极环绕半导体器件的p型场效应晶体管(PFET)区中的p型功函数金属(WFM)以及n型场效应晶体管(NFET)区中的n型功函数金属的方法。当制作具有在衬底上垂直排列有若干沟道的栅极环绕结构的场效应晶体管时,由于沟道可能会阻挡蚀刻剂到达被在光刻工艺中使用的碳基材料填充的洞穴状栅极空间,因此可能难以实施各向异性蚀刻工艺。另外,当洞穴状栅极空间被第一功函数金属材料完全填充时,可能难以利用各向异性蚀刻工艺移除第一功函数金属。
根据本公开的实例,提供一种沉积第一功函数金属及碳基掩模而不使其填满洞穴状空间以使得可在制作栅极环绕半导体器件的工艺中成功实施各向异性蚀刻的方法。因此,本公开将解决在形成栅极环绕半导体器件的p型场效应晶体管区中的p型功函数金属以及n型场效应晶体管区中的n型功函数金属时与蚀刻工艺相关联的制作问题。本公开的示例性实施例提供一种通过使用化学气相沉积(CVD)工艺对栅极环绕结构沉积随后可被容易地蚀刻掉的碳基掩模以使得能够实现互补金属氧化物半导体(CMOS)器件图案化来制作栅极环绕半导体器件(例如,鳍型场效应晶体管)的方法,以及一种通过沉积第一功函数金属来围绕栅极环绕沟道并填充洞穴状栅极空间同时对于洞穴状栅极空间中的每一者留下大小为约1nm或大于1nm的间隙以便于随后容易地蚀刻碳基掩模及第一功函数金属从而使得能够实现互补金属氧化物半导体器件图案化来制作栅极环绕半导体器件的方法。由此,可制作大小减小的栅极环绕半导体器件。
应理解,当称例如层、区或衬底等元件位于另一元件“上”或位于另一元件“之上”时,所述元件可直接位于另一元件上或直接位于另一元件之上或者也可存在中间元件。相反,当称一元件“直接位于另一元件上”或“直接位于另一元件之上”时,则不存在中间元件。除非上下文清楚地另外指明,否则本文所用单数形式“一”及“所述”旨在也包括多数形式。
根据本发明的示例性实施例,一种制作栅极环绕半导体器件的方法可包括以下步骤:提供半导体衬底,所述半导体衬底具有在第一区及靠近第一区的第二区中在第一方向上延伸的多个有源鳍、堆叠在所述多个有源鳍中的每一者上方的多个栅极环绕沟道以及跨越第一区及第二区在第二方向上延伸并与所述多个有源鳍交叉的多个栅极开口,其中所述多个栅极开口包括位于所述多个有源鳍中的每一者与所述多个栅极环绕沟道中的一个相邻的栅极环绕沟道之间以及位于所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的洞穴状栅极空间;在第一区及第二区中在所述多个栅极开口中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道中的每一者上及围绕所述多个栅极环绕沟道中的每一者形成介电层,介电层填充洞穴状栅极空间中的每一者的一部分;在第一区及第二区中在介电层上形成第一功函数金属,第一功函数金属填充洞穴状栅极空间中的每一者的一部分;通过化学气相沉积(CVD)工艺在第一区及第二区中形成第一碳基掩模,以将所述多个栅极开口填充至至少覆盖所有所述多个栅极环绕沟道的高度;在第一区及第二区中在第一碳基掩模的顶部上形成第二碳基掩模直至高于所述多个栅极开口的高度;移除第二区中的第一碳基掩模及第二碳基掩模;通过使用在第一区中余留的第一碳基掩模及余留的第二碳基掩模作为蚀刻掩模进行蚀刻来移除第二区中的第一功函数金属;移除第一区中的余留的第一碳基掩模及余留的第二碳基掩模;以及在第二区中的介电层上以及在第一区中的第一功函数金属上形成第二功函数金属。然而,本公开并非仅限于利用上述顺序或次序来执行这些步骤。也可在以上示出的步骤之前、之间或之后对衬底应用许多步骤。
图1是制作栅极环绕半导体器件的方法的流程图。
图2是表示根据本公开实例的栅极环绕半导体器件的示意性俯视图。
图3是表示根据本公开示例性实施例的堆叠在衬底上的多个栅极环绕沟道的示意性剖视图,其中围绕栅极环绕沟道形成有介电层且在介电层上形成有第一功函数金属。
参照图1至图3,在图1所示区块S110处,可提供在第一区及第二区中包括栅极环绕沟道的半导体衬底。如图2及图3所示,所提供的半导体衬底100可具有在第一区及靠近第一区的第二区中在第一方向(X方向)上延伸的多个有源鳍结构10(或有源鳍101)以及跨越第一区及第二区在第二方向(Y方向)上延伸并与所述多个有源鳍结构10交叉的多个栅极结构40(或栅极开口401)。第一区被例示为p型场效应晶体管区且第二区被例示为n型场效应晶体管区。然而,本公开并非仅限于此。举例来说,第一区可为n型场效应晶体管区且第二区可为p型场效应晶体管区。所述多个有源鳍101是半导体衬底100的一部分且在与第一方向及第二方向(X方向及Y方向)垂直的第三方向(Z方向)上向上突出。
图3包括沿图2所示线I-I′、II-II′及III-III′截取的栅极环绕半导体器件的三个剖视图。如图3所示,所提供的半导体衬底100可具有在第三方向(Z方向)上垂直地堆叠在所述多个有源鳍101中的每一者上方的多个栅极环绕沟道102、以及跨越第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)在第二方向(Y方向)上延伸并与所述多个有源鳍101交叉的多个栅极开口401,其中所述多个栅极开口401包括位于有源鳍101中的每一者与所述多个栅极环绕沟道102中的一个相邻的栅极环绕沟道102之间以及位于所述多个栅极环绕沟道102中的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402。栅极环绕沟道102是栅极环绕场效应晶体管的沟道。栅极环绕场效应晶体管可为金属氧化物半导体场效应晶体管。
半导体衬底100可包含半导体材料,例如(举例来说)硅(Si)、锗(Ge)、硅锗(SiGe)、碳化硅(SiC)、氮化镓(GaN)或砷化鎵(GaAs),且还可包含非半导体材料,例如(举例来说)氧化硅(SiO2)、铝(Al)、氧化铝(Al2O3)、陶瓷、石英或铜(Cu)。半导体衬底100可包括多个层,例如硅上锗(germanium on silicon)或绝缘体上硅(silicon on insulator,SOI)。对于一些应用来说,半导体衬底100可为掺杂有杂质的半导体衬底以使半导体衬底成为p型或n型。在p型掺杂硅衬底中,Si衬底可掺杂有p型掺杂物,例如(举例来说)硼(B)、铝(Al)、镓(Ga)及铟(In)。在n型掺杂硅衬底中,Si衬底可掺杂有n型掺杂物,例如(举例来说)锑(Sb)、砷(As)及磷(P)。半导体衬底100可包括一个或多个半导体层或结构且可包括半导体器件的有源部分或可操作部分。半导体器件的有源部分或可操作部分可包括晶体管。垂直地堆叠在半导体衬底100上的栅极环绕沟道102可包括硅纳米线(silicon nanowire)。在一些实例中,栅极环绕沟道102可包含例如锗(Ge)、砷化铟镓(InGaAs)或其他III-V材料。
在半导体衬底100内及/或在有源鳍101周围,栅极环绕半导体器件可包括浅沟槽隔离(shallow trench isolation,STI)结构201。浅沟槽隔离结构201可包含绝缘材料,例如(举例来说)氧化硅(SiO2)、氮氧化硅(SiON)等。在每一个栅极开口401的两侧上可形成有源极/漏极103。源极/漏极103可包括掺杂有杂质的半导体层。在本公开的实例中,源极/漏极103可包含例如掺杂有杂质的硅(Si)、掺杂有杂质的硅锗(SiGe)或掺杂有杂质的碳化硅(SiC)。
源极/漏极103可包括从半导体衬底100的有源鳍101外延生长的半导体层。在栅极开口401的侧壁上可形成有第一间隔件601及第二间隔件602。第一间隔件601可主要被形成为与源极/漏极103接触且位于多个栅极环绕沟道102中的相邻的栅极环绕沟道102之间,而第二间隔件602可主要被形成为接触浅沟槽隔离结构201或靠近栅极间绝缘层202。然而,第一间隔件601及第二间隔件602可被形成为整体部分且可为无法区分的。第一间隔件601及第二间隔件602可包含例如氮化硅(SiN)、氮氧化硅(SiON)或它们的组合。
在图1所示方块S120处,可形成围绕栅极环绕沟道102的介电层301。如图3所示,介电层301可在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中形成在所述多个栅极开口401中的每一者的底部及侧壁上、且形成在所述多个栅极环绕沟道102中的每一者上以及围绕所述多个栅极环绕沟道102中的每一者形成,并填充洞穴状栅极空间402中的每一者的一部分。由此,洞穴状栅极空间402中的每一者变得更小。介电层301可仅形成在栅极开口401的位于靠近栅极环绕沟道102的下部部分处的侧壁上,但本公开并非仅限于此。举例来说,介电层301还可形成在栅极开口401的位于上部部分处的侧壁上。尽管附图中未示出,然而在有源鳍101与介电层301之间以及在栅极环绕沟道102与介电层301之间可形成有氧化层,例如(举例来说)氧化硅(SiO2)、氮氧化硅(SiON)等绝缘材料。然而,本公开并非仅限于此。
介电层301可包括例如氧化硅层、高介电常数介电层或它们的组合。高介电常数介电层可包含介电常数大于氧化硅层的介电常数的材料,例如介电常数为约10到约25的材料。举例来说,高介电常数介电层可包含以下中的至少一者:氧化铪(HfO2)、氮氧化铪(HfON)、氧化铪硅(HfSiOx)、氧化镧(La2O3)、氧化镧铝(LaAlO3)、氧化锆(ZrO2)、氧化锆硅(ZrSixOy)、氧化钽(Ta2O5)、氧化钛(TiO2)、氧化钡锶钛(BaO6SrTi2)、氧化钡钛(BaTiO3)、氧化锶钛(SrTiO3)、氧化钇(Y2O3)、氧化铝(Al2O3)、氧化铅钪钽(Pb2ScTaO6)、铌酸铅锌(Pb(Zn1/ 3Nb2/3)O3)及它们的组合,但本公开并非仅限于此。介电层301可通过例如原子层沉积(atomic layer deposition,ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(physical vapor deposition,PVD)工艺形成。
在图1所示方块S130处,可在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中在介电层301上形成第一功函数金属(WFM)501。如图3所示,第一功函数金属501可在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中形成在介电层301上,其中第一功函数金属501填充洞穴状栅极空间402中的每一者的另一部分。由此,洞穴状栅极空间402中的每一者变得甚至更小。
第一功函数金属501可为p型功函数金属(p-type WFM,PWFM),但本公开并非仅限于此。举例来说,第一功函数金属501可为n型功函数金属(n-type WFM,NWFM)。p型功函数金属可包括例如氮化钨(WN)、氮化钌(RuN)、氮化钼(MoN)、氮化钛(TiN)、氮化钽(TaN)、氮化钛铝(TiAlN)、氮化钽铝(TaAlN)、碳化钨(WC)、碳化钽(TaC)或碳化钛(TiC),但本公开并非仅限于此。n型功函数金属可包括例如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)、铝化铪(HfAl)、或碳化钛铝(TiAlC),但本公开并非仅限于此。第一功函数金属501可为p型功函数金属,且可包括例如氮化钛(TiN)。第一功函数金属501可通过例如原子层沉积(ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺形成。
在介电层301上形成第一功函数金属501后,被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中的每一者的间隙(距离)可为约1nm或大于1nm。在本公开的实例中,被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中的每一者的间隙(距离)可介于约1nm到8nm范围内。举例来说,间隙可介于约1nm到5nm范围内。间隙可使蚀刻剂到达洞穴状栅极空间402的内部部分以在随后的蚀刻步骤中移除形成在洞穴状栅极空间402内的第一功函数金属501。
图4是表示根据本公开实例的被形成为将栅极开口填充至覆盖所有栅极环绕沟道的高度的第一碳基掩模的示意性剖视图。
参照图1及图4,在图1所示方块S140处,可通过化学气相沉积(CVD)工艺在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中形成第一碳基掩模。如图4所示,第一碳基掩模701可通过化学气相沉积(CVD)工艺形成在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中,以将所述多个栅极开口401填充至至少覆盖所有所述多个栅极环绕沟道102的高度。
第一碳基掩模701可包含例如非晶碳。用于沉积非晶碳的前驱体可包含CxHy,例如甲烷(CH4)、乙烷(C2H6)、乙炔(C2H2)等,但本公开并非仅限于此。在沉积工艺中,可包含例如(举例来说)H2等其他工艺气体或例如(举例来说)氦气(He)、氩气(Ar)、氮气(N2)等其他惰性载气。在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中沉积非晶碳作为第一碳基掩模701的工艺中,可结合前驱体气体(或多种前驱体气体)及载气(或多种载气)的各种流动速率恰当地使用化学气相沉积工艺中的各种沉积次数、温度及压力,使得第一碳基掩模701可将所述多个栅极开口401填充至至少覆盖所有所述多个栅极环绕沟道102的高度,同时可根本不填充洞穴状栅极空间402,或者可极少地填充洞穴状栅极空间402。由于洞穴状栅极空间402可不被第一碳基掩模701填充,因此在随后的蚀刻工艺中可不存在栅极环绕沟道102可能会阻挡蚀刻剂到达被第一碳基掩模701材料填充的洞穴状栅极空间402的顾虑。
图5是表示根据本公开实例的在第一碳基掩模之上形成至高于栅极开口的顶部的高度的第二碳基掩模的示意性剖视图,其中在第二碳基掩模之上形成有含硅的抗反射涂层,且在含硅的抗反射涂层之上形成有光刻胶图案。
参照图1及图5,在图1所示方块S150处,可在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中在第一碳基掩模701的顶部上形成第二碳基掩模。如图5所示,第二碳基掩模702可在第一区及第二区(p型场效应晶体管及n型场效应晶体管)中在第一碳基掩模701的顶部上形成至高于所述多个栅极开口401的高度。
第二碳基掩模702可包括旋涂硬掩模层,可用作平坦化层,且可具有高碳含量。旋涂硬掩模层可将衬底平坦化且可有效地作为图案转移层(pattern transfer layer)。旋涂硬掩模的材料可含有聚合物溶液作为涂布材料,其中聚合物可包含稠合芳香族结构,可溶解在有机溶剂中,且在涂布及固化之后可变得不可溶解。形成第二碳基掩模702可利用旋涂工艺来实施,使得可获得平坦化碳掩模层,但本公开并非仅限于此。举例来说,第二碳基掩模702可通过例如原子层沉积(ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺形成。为将第二碳基掩模702的顶表面平坦化,可额外实施例如(举例来说)化学机械抛光(chemical-mechanical polishing,CMP)等平坦化步骤。
图6是表示根据本公开实例的余留在第一区中且在第二区中被移除的第一碳基掩模及第二碳基掩模的示意性剖视图。
参照图1及图6,在图1所示区块S160处,可移除第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702。图6是表示根据本公开实例的作为余留的第一碳基掩模701R及余留的第二碳基掩模702R而余留在第一区(p型场效应晶体管区)中且在第二区(n型场效应晶体管区)中被移除的第一碳基掩模701及第二碳基掩模702的示意性剖视图。
参照图5及图6,移除第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702可包括以下步骤:在第二碳基掩模702上沉积含硅的抗反射涂层203;在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中的含硅的抗反射涂层203上旋涂光刻胶层;利用光掩模对光刻胶层进行曝光;对经曝光的光刻胶层进行烘烤及显影,以形成覆盖第一区(p型场效应晶体管区)的光刻胶图案703;将光刻胶图案703的图像转移到含硅的抗反射涂层203;以及使用带有图像的含硅的抗反射涂层203作为蚀刻掩模执行定向蚀刻,以移除第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702。然而,本公开并非仅限于利用上述顺序或次序来执行这些步骤。也可在以上示出的步骤之前、之间或之后对衬底应用许多步骤。换句话说,可通过在以上工艺步骤中阐述的光刻工艺与各向异性蚀刻工艺的组合来移除第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702。
利用光掩模对光刻胶层进行的曝光可利用传统的光刻(例如(举例来说)ArF(193nm)深紫外线(deep UV,DUV)浸没光刻)来实施。为蚀刻含硅的抗反射涂层203,可使用含氟的蚀刻剂,例如CF4、CHF3、CH2F2、CH3F或它们的任意组合。在对含硅的抗反射涂层203进行蚀刻之后,可将蚀刻化学物质改变回来,以使用O2蚀刻剂或使用还原等离子体(reducingplasma)(例如,N2、H2、NH3或它们的组合)来蚀刻第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702。在完成对第二区(n型场效应晶体管区)中的第一碳基掩模701及第二碳基掩模702的蚀刻后,覆盖第一区(p型场效应晶体管区)的光刻胶图案703通常被消耗掉,且带有图像的含硅的抗反射涂层203可被完全消耗掉或可不被完全消耗掉。
图7是表示根据本公开实例的移除第二区中的第一功函数金属的示意性剖视图。
参照图1及图7,在图1所示方块S170处,可移除第二区(n型场效应晶体管区)中的第一功函数金属(WFM)501。如图7所示,第二区(n型场效应晶体管区)中的第一功函数金属501可通过使用第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R作为蚀刻掩模来被移除。当第一功函数金属501包含氮化钛(TiN)时,可使用利用氯(Cl2)系蚀刻剂进行的定向反应离子蚀刻(reactive ion etching,RIE)移除氮化钛(TiN)。在移除第二区(n型场效应晶体管区)中的第一功函数金属501的工艺中,可在第一区(p型场效应晶体管区)中在余留的第一碳基掩模701R之下形成第一功函数金属501的钻蚀(undercut),且所述钻蚀的长度可小于约5nm。然而,本公开并非仅限于此。举例来说,当第一功函数金属501相对厚时,可出现长度稍微大于5nm的稍微更大的钻蚀。在介电层301上形成第一功函数金属501的前面的工艺步骤S130中,可形成被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中的每一者的为约1nm或大于1nm的间隙,以使蚀刻剂到达洞穴状栅极空间402的内部部分,从而在移除第二区(n型场效应晶体管区)中的第一功函数金属501的此步骤S170中容易地移除形成在洞穴状栅极空间402内的第一功函数金属501。由于蚀刻剂可到达洞穴状栅极空间402的内部部分以移除第一功函数金属501,因此不需要进行过度蚀刻,由此可仅形成长度小于约5nm的小的钻蚀。也就是说,除了移除第二区(n型场效应晶体管区)中的第一功函数金属501之外,还可移除第一区(p型场效应晶体管区)中的小于约5nm的第一功函数金属501。
图8是表示根据本公开实例的形成在第二区中的介电层上以及形成在第一区中的第一功函数金属上的第二功函数金属的示意性剖视图。
参照图1及图8,在图1所示区块S180处,可移除第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R。移除第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R可利用使用O2蚀刻剂或使用还原等离子体(例如,N2、H2、NH3或它们的组合)进行的各向异性蚀刻来实施。也可使用其他传统的光刻胶灰化及/或剥除工艺来移除第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R。
在图1所示区块S190处,可在第二区(n型场效应晶体管区)中的介电层301上以及在第一区(p型场效应晶体管区)中的第一功函数金属501上形成第二功函数金属502。如图8所示,第二功函数金属502也可直接形成在位于栅极开口401的侧壁上的第二间隔件602上。第二功函数金属502可为n型功函数金属(NWFM),且可包含例如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)、铝化铪(HfAl)、碳化钛铝(TiAlC)或它们的任意组合。第二功函数金属502可包括多个层,所述多个层例如包含氮化钛/碳化钛铝/氮化钛(TiN/TiAlC/TiN)或包含氮化钛/碳化钛铝(TiN/TiAlC)。在形成第二功函数金属502之前,可形成额外的第一功函数金属501来完全填充第一区(p型场效应晶体管区)中的洞穴状栅极空间402。当形成第一功函数金属501来填充第一区(p型场效应晶体管区)中的洞穴状栅极空间402时,第一功函数金属501也可形成在第二区(n型场效应晶体管区)中,由此第二功函数金属502可接着被形成为多个层。也就是说,第二功函数金属502可包括包含第一功函数金属501的材料的薄层以及位于所述薄层的顶部上的包含n型功函数金属的材料的相对较厚的层。举例来说,第一功函数金属501可包含TiN,且第二功函数金属502可包含TiN/TiAlC/TiN。第二功函数金属502可通过例如原子层沉积(ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺形成。
图9是表示根据本公开实例的形成在栅极开口中的栅极金属线的示意性剖视图。
参照图9,所述制作栅极环绕半导体器件的方法还可包括在所述多个栅极开口401中在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中的第二功函数金属502之上沉积及填充栅极金属线503。在所述多个栅极开口401中在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中的第二功函数金属502之上填充栅极金属线503的工艺中,可沉积金属层来填充栅极开口401,且可接着对金属层进行平坦化以形成栅极金属线503。金属层可包含导电材料,所述导电材料可包括例如金(Au)、钛(Ti)、铜(Cu)、银(Ag)、铝(Al)、钨(W)、钴(Co)、铬(Cr)、钼(Mo)、锆(Zr)、镍(Ni)、钽(Ta)、铂(Pt)或它们的合金。金属层可为多层的,且可包括一个或多个阻挡层(例如,钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)、氮化钨(WN)及/或它们的组合),以防止金属扩散到介电层301中。可利用包括但不限于以下的各种沉积工艺形成导电材料来填充栅极开口401:物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、电化学沉积(electrochemical deposition,ECD)、电镀、无电镀覆及旋涂。对金属层进行的平坦化可包括例如化学机械抛光(CMP)工艺等平坦化工艺,以将金属层平坦化从而形成栅极金属线503。
根据本公开的实例,一种制作栅极环绕半导体器件的方法可包括以下步骤:提供半导体衬底100,半导体衬底100具有跨越第一区(p型场效应晶体管区)及靠近第一区(p型场效应晶体管区)的第二区(n型场效应晶体管区)延伸的多个栅极开口401及在所述多个栅极开口401中的每一者中堆叠在半导体衬底100上方的多个栅极环绕沟道102,其中所述多个栅极开口401包括位于半导体衬底100与相邻的栅极环绕沟道102之间以及位于两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402;在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中在所述多个栅极开口401中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道102中的每一者上及围绕所述多个栅极环绕沟道102中的每一者形成介电层301,介电层301填充洞穴状栅极空间402中的每一者的一部分;在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中在介电层301上形成第一功函数金属(WFM)501,第一功函数金属501填充洞穴状栅极空间402中的每一者的另一部分,并且对于被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中的每一者,留下大小为约1nm或大于1nm的间隙;通过化学气相沉积(CVD)工艺在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中形成第一碳基掩模701,以将所述多个栅极开口401填充至至少覆盖所有所述多个栅极环绕沟道102的高度;在第一区及第二区(p型场效应晶体管区及n型场效应晶体管区)中在第一碳基掩模701的顶部上形成第二碳基掩模702直至高于所述多个栅极开口401的高度,且第二碳基掩模702具有平坦化顶表面;通过光刻工艺及各向异性蚀刻工艺移除第二区中的第一碳基掩模701及第二碳基掩模702;通过使用第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R作为蚀刻掩模进行蚀刻来移除第二区(n型场效应晶体管区)中的第一功函数金属501;移除第一区(p型场效应晶体管区)中的余留的第一碳基掩模701R及余留的第二碳基掩模702R;以及在第二区(n型场效应晶体管区)中的介电层301上以及在第一区(p型场效应晶体管区)中的第一功函数金属501上形成第二功函数金属502。半导体衬底100可包括或可不包括有源鳍101。然而,本公开并非仅限于利用上述顺序或次序来执行这些步骤。也可在以上示出的步骤之前、之间或之后对衬底应用许多步骤。
在形成第二功函数金属502之前,可形成额外的第一功函数金属501来完全填充第一区(p型场效应晶体管区)中的洞穴状栅极空间402。当形成第一功函数金属501来填充第一区(p型场效应晶体管区)中的洞穴状栅极空间402时,第一功函数金属501也可形成在第二区(n型场效应晶体管区)中。由于被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402的间隙可具有约1nm或大于1nm的大小(例如,介于约1nm到8nm范围内),因此在对所述间隙进行填充之后,在第二区(n型场效应晶体管区)中可形成由第一功函数金属501形成的厚度约1nm到8nm的薄层。随后,在包含第一功函数金属501的材料的薄层的顶部上可形成包含主要用于第二功函数金属502的材料的较厚的层。也就是说,第二功函数金属502可包括多个层。举例来说,第一功函数金属501可包含TiN,且第二功函数金属502可包含TiN/TiAlC/TiN。在本公开的实例中,栅极环绕半导体器件可包括p型功函数金属(PWFM)及n型功函数金属(NWFM),p型功函数金属在p型场效应晶体管区中形成在每一个栅极环绕沟道102的介电层301上且围绕每一个栅极环绕沟道102的介电层301,n型功函数金属(NWFM)在n型场效应晶体管区中形成在每一个栅极环绕沟道102的介电层301上且围绕每一个栅极环绕沟道102的介电层301,其中n型功函数金属包括多个层,所述多个层中的一个层包含p型功函数金属的材料且具有介于约1nm到8nm范围内(例如,介于约1nm到5nm范围内)的厚度,且p型场效应晶体管区中包含p型功函数金属的栅极环绕沟道102中的一者与n型场效应晶体管区中包含n型功函数金属的栅极环绕沟道102中的相邻一者之间的空间的大小小于包括以下的大小的两倍:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属的厚度。
如图6及图7所示,由于如在本公开的实例中所示,对于被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中的每一者存在大小为约1nm或大于1nm的间隙,因此蚀刻剂能够通过所述间隙移除n型场效应晶体管区中的第一功函数金属501,而不会明显地侧向蚀刻到p型场效应晶体管区中,例如仅在余留的第一碳基掩模701R之下形成第一功函数金属501的小的钻蚀。然而,在传统工艺中,由于在被第一功函数金属501覆盖的两个相邻的栅极环绕沟道102之间的洞穴状栅极空间402中未余留间隙,因此,为移除n型场效应晶体管区中的第一功函数金属501,会需要在第二方向(Y方向)上对第一功函数金属501进行侧向蚀刻。上述传统工艺可为在行业中通常使用的工艺,或者可为在现有技术中所公开的工艺。为完全移除n型场效应晶体管区中的第一功函数金属501所需的第二方向(Y方向)上的侧向蚀刻长度可与包括以下的长度大约相同:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属(PWFM)在第二方向(Y方向)上的厚度。当n型场效应晶体管区中的第一功函数金属501被完全移除时,在p型场效应晶体管区中会出现第一功函数金属501的明显的侧向蚀刻,其中侧向蚀刻长度与包括以下的长度大约相同:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属在第二方向(Y方向)上的厚度。因此,当p型场效应晶体管区中包含第一功函数金属501的栅极环绕沟道102与n型场效应晶体管区中包含第一功函数金属501的相邻的栅极环绕沟道102之间的空间的大小小于包括以下的大小的两倍时,为完全移除n型场效应晶体管区中的第一功函数金属501所需的侧向蚀刻可过分移除并损坏p型场效应晶体管区中的第一功函数金属501:栅极环绕沟道102的一半宽度、介电层301的厚度及第一功函数金属501的厚度。由于在栅极环绕半导体器件的最终结构中,第二功函数金属502(n型功函数金属(NWFM))的厚度可与第一功函数金属501(p型功函数金属)的厚度大约相同或非常接近,因此,在制作工艺的中间阶段中p型场效应晶体管区中包含第一功函数金属501(p型功函数金属)的栅极环绕沟道102与n型场效应晶体管区中包含第一功函数金属501(p型功函数金属)的相邻的栅极环绕沟道102之间的空间可与在栅极环绕半导体器件的最终结构中p型场效应晶体管区中包含第一功函数金属501(p型功函数金属)的栅极环绕沟道102与n型场效应晶体管区中包含第一功函数金属501(p型功函数金属)的相邻的栅极环绕沟道102之间的空间大约相同或非常接近。因此,上述传统工艺不能够在不过量地移除并损坏p型场效应晶体管区中的p型功函数金属的条件下制作在p型场效应晶体管区中包含p型功函数金属的多个栅极环绕沟道102中的一者与n型场效应晶体管区中包含n型功函数金属的栅极环绕沟道102中的相邻一者之间包括大小比包括以下的大小的两倍小的空间的栅极环绕半导体器件:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属的厚度。
光刻变化还可限制上述传统方法以上述尺寸制作栅极环绕半导体器件的能力。由于完全移除n型场效应晶体管区中的p型功函数金属需要过量的侧向蚀刻,因此上述传统工艺不能够制作在p型场效应晶体管区中包含p型功函数金属的多个栅极环绕沟道102中的一者与n型场效应晶体管区中包含n型功函数金属的栅极环绕沟道102中的相邻一者之间包括大小比包括以下的大小小的空间的栅极环绕半导体器件:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属的厚度。上述本公开的示例性实施例的方法可克服此过量侧向蚀刻问题,且可用于制作具有上述减小的尺寸的栅极环绕半导体器件。根据本公开的实例,栅极环绕半导体器件可包括p型功函数金属及n型功函数金属,p型功函数金属在p型场效应晶体管区中形成在每一个栅极环绕沟道102的介电层301上且围绕每一个栅极环绕沟道102的介电层301,n型功函数金属在n型场效应晶体管区中形成在每一个栅极环绕沟道102的介电层301上且围绕每一个栅极环绕沟道102的介电层301,其中n型功函数金属包括多个层,所述多个层中的一个层包含p型功函数金属的材料且具有介于约1nm到8nm范围内的厚度(例如,介于约1nm到5nm范围内),且p型场效应晶体管区中包含p型功函数金属的栅极环绕沟道102中的一者与n型场效应晶体管区中包含n型功函数金属的栅极环绕沟道102中的相邻一者之间的空间的大小小于包括以下的大小:栅极环绕沟道102的一半宽度、介电层301的厚度及p型功函数金属的厚度。p型功函数金属的材料可包括例如氮化钨(WN)、氮化钌(RuN)、氮化钼(MoN)、氮化钛(TiN)、氮化钽(TaN)、氮化钛铝(TiAlN)、氮化钽铝(TaAlN)、碳化钨(WC)、碳化钽(TaC)、碳化钛(TiC)或它们的任意组合,且n型功函数金属的材料可包括例如铝化钛(TiAl)、铝化锆(ZrAl)、铝化钨(WAl)、铝化钽(TaAl)、铝化铪(HfAl)、碳化钛铝(TiAlC)或它们的任意组合。举例来说,栅极环绕半导体器件的p型功函数金属可包含TiN,且n型功函数金属可包含TiN/TiAlC/TiN。
如上所述,本公开的示例性实施例提供一种通过使用化学气相沉积(CVD)工艺对栅极环绕结构沉积随后可被容易地蚀刻掉的碳基掩模来制作栅极环绕半导体器件(例如,鳍型场效应晶体管)的方法,以及一种通过沉积第一功函数金属来围绕栅极环绕沟道并填充洞穴状栅极空间同时对于洞穴状栅极空间中的每一者留下大小为约1nm或大于1nm的间隙以便于随后容易地蚀刻碳基掩模及第一功函数金属来制作栅极环绕半导体器件的方法。由此,可制作大小减小的栅极环绕半导体器件。在栅极环绕半导体器件中,n型功函数金属可包括多个层,所述多个层中的一个薄层包含p型功函数金属的材料。
尽管已详细阐述了本公开的说明性实施例,然而应理解,本公开并非旨在仅限于所公开的具体示例性实施例。基于上述公开内容,在不背离由以上所附权利要求书所界定的本公开的精神及范围的条件下,所属领域中的技术人员将能够作出各种改变、替代、及变更。

Claims (17)

1.一种制作栅极环绕半导体器件的方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底具有在第一区及靠近所述第一区的第二区中在第一方向上延伸的多个有源鳍、堆叠在所述多个有源鳍中的每一者上方的多个栅极环绕沟道以及跨越所述第一区及所述第二区在第二方向上延伸并与所述多个有源鳍交叉的多个栅极开口,其中所述多个栅极开口包括洞穴状栅极空间,所述洞穴状栅极空间中的一些各自位于所述多个有源鳍中的每一者与所述多个栅极环绕沟道中的一个相邻的栅极环绕沟道之间,以及所述洞穴状栅极空间中的一些各自位于所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间;
在所述第一区及所述第二区中在所述多个栅极开口中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道中的每一者上及围绕所述多个栅极环绕沟道中的每一者形成介电层,所述介电层填充所述洞穴状栅极空间中的每一者的第一部分;
在所述第一区及所述第二区中在所述介电层上形成第一功函数金属,所述第一功函数金属填充所述洞穴状栅极空间中的每一者的非所述第一部分的第二部分,其中所述介电层及所述第一功函数金属未填满所述第一部分与所述第二部分外的所述洞穴状栅极空间;
通过化学气相沉积工艺在所述第一区及所述第二区中形成第一碳基掩模,以将所述多个栅极开口填充至至少覆盖所有所述多个栅极环绕沟道的高度;
在所述第一区及所述第二区中在所述第一碳基掩模的顶部上形成第二碳基掩模直至高于所述多个栅极开口的高度;
移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模;
通过使用在所述第一区中余留的所述第一碳基掩模及余留的所述第二碳基掩模作为蚀刻掩模进行蚀刻来移除所述第二区中的所述第一功函数金属;
移除所述第一区中的余留的所述第一碳基掩模及余留的所述第二碳基掩模;以及
在所述第二区中的所述介电层上以及在所述第一区中的所述第一功函数金属上形成第二功函数金属。
2.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,在形成所述第一功函数金属后,被所述第一功函数金属覆盖的所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的所述洞穴状栅极空间中的每一者的间隙为1nm或大于1nm。
3.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,在形成所述第二功函数金属之前,形成额外的第一功函数金属以完全填充所述第一区中的所述洞穴状栅极空间。
4.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,形成所述第二碳基掩模是利用旋涂工艺实施。
5.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一区是p型场效应晶体管区且所述第二区是n型场效应晶体管区。
6.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一碳基掩模包含非晶碳。
7.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模包括:
在所述第二碳基掩模上沉积含硅的抗反射涂层;
在所述含硅的抗反射涂层上旋涂光刻胶层;
利用光掩模对所述光刻胶层进行曝光;
对经曝光的所述光刻胶层进行烘烤及显影,以形成覆盖所述第一区的光刻胶图案;
将所述光刻胶图案的图像转移到所述含硅的抗反射涂层;以及
使用带有图像的所述含硅的抗反射涂层作为蚀刻掩模执行定向蚀刻,以移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模。
8.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,在移除所述第二区中的所述第一功函数金属后,在余留的所述第一碳基掩模之下形成的所述第一功函数金属的钻蚀的长度小于5nm。
9.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一功函数金属是包含氮化钛的p型功函数金属,且所述第二功函数金属是包含氮化钛/碳化钛铝/氮化钛的n型功函数金属。
10.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,在通过化学气相沉积工艺在所述第一区及所述第二区中形成所述第一碳基掩模时,所述第一碳基掩模根本不填充所述洞穴状栅极空间或者极少地填充所述洞穴状栅极空间。
11.根据权利要求1所述的制作栅极环绕半导体器件的方法,其特征在于,还包括:在所述多个栅极开口中在所述第一区及所述第二区中的所述第二功函数金属之上沉积及填充栅极金属线。
12.一种制作栅极环绕半导体器件的方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底具有跨越第一区及靠近所述第一区的第二区延伸的多个栅极开口及在所述多个栅极开口中的每一者中堆叠在所述半导体衬底上方的多个栅极环绕沟道,其中所述多个栅极开口包括洞穴状栅极空间,所述洞穴状栅极空间中的一些位于所述半导体衬底与所述多个栅极环绕沟道中的相邻栅极环绕沟道之间,以及所述洞穴状栅极空间中的一些各自位于所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间;
在所述第一区及所述第二区中在所述多个栅极开口中的每一者的底部及侧壁上以及在所述多个栅极环绕沟道中的每一者上及围绕所述多个栅极环绕沟道中的每一者形成介电层,所述介电层填充所述洞穴状栅极空间中的每一者的第一部分;
在所述第一区及所述第二区中在所述介电层上形成第一功函数金属,所述第一功函数金属填充所述洞穴状栅极空间中的每一者的第二部分,并且对于被所述第一功函数金属覆盖的所述多个栅极环绕沟道中的两个相邻的栅极环绕沟道之间的所述洞穴状栅极空间中的每一者,留下大小为1nm或大于1nm的间隙;
通过化学气相沉积工艺在所述第一区及所述第二区中形成第一碳基掩模,以将所述多个栅极开口填充至至少覆盖所有所述多个栅极环绕沟道的高度;
在所述第一区及所述第二区中在所述第一碳基掩模的顶部上形成第二碳基掩模直至高于所述多个栅极开口的高度,且所述第二碳基掩模具有平坦化顶表面;
通过光刻工艺及各向异性蚀刻工艺移除所述第二区中的所述第一碳基掩模及所述第二碳基掩模;
通过使用在所述第一区中余留的所述第一碳基掩模及余留的所述第二碳基掩模作为蚀刻掩模进行蚀刻来移除所述第二区中的所述第一功函数金属;
移除所述第一区中的余留的所述第一碳基掩模及余留的所述第二碳基掩模;以及
在所述第二区中的所述介电层上以及在所述第一区中的所述第一功函数金属上形成第二功函数金属。
13.根据权利要求12所述的制作栅极环绕半导体器件的方法,其特征在于,在形成所述第二功函数金属之前,形成额外的第一功函数金属以完全填充所述第一区中的所述洞穴状栅极空间。
14.根据权利要求12所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一碳基掩模包含非晶碳。
15.根据权利要求12所述的制作栅极环绕半导体器件的方法,其特征在于,在移除所述第二区中的所述第一功函数金属后,所述第一碳基掩模之下的所述第一功函数金属的钻蚀的长度小于5nm。
16.根据权利要求12所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一功函数金属是包含氮化钛的p型功函数金属,且所述第二功函数金属是包含氮化钛/碳化钛铝/氮化钛的n型功函数金属。
17.根据权利要求12所述的制作栅极环绕半导体器件的方法,其特征在于,所述第一区是p型场效应晶体管区且所述第二区是n型场效应晶体管区。
CN201810374721.7A 2017-04-26 2018-04-24 栅极环绕半导体器件及其制作方法 Active CN108807277B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490344P 2017-04-26 2017-04-26
US62/490,344 2017-04-26
US15/854,343 2017-12-26
US15/854,343 US10566245B2 (en) 2017-04-26 2017-12-26 Method of fabricating gate all around semiconductor device

Publications (2)

Publication Number Publication Date
CN108807277A CN108807277A (zh) 2018-11-13
CN108807277B true CN108807277B (zh) 2023-09-22

Family

ID=63917500

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810374721.7A Active CN108807277B (zh) 2017-04-26 2018-04-24 栅极环绕半导体器件及其制作方法

Country Status (3)

Country Link
US (1) US10566245B2 (zh)
KR (1) KR102301251B1 (zh)
CN (1) CN108807277B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10522750B2 (en) * 2018-02-19 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices
US10804106B2 (en) * 2018-02-21 2020-10-13 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
US10658521B2 (en) 2018-05-15 2020-05-19 International Business Machines Corporation Enabling residue free gap fill between nanosheets
US10510871B1 (en) * 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN111223778B (zh) * 2018-11-23 2023-09-12 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111223779B (zh) * 2018-11-23 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10734286B1 (en) * 2019-02-07 2020-08-04 International Business Machines Corporation Multiple dielectrics for gate-all-around transistors
US10825919B2 (en) * 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
KR20200113492A (ko) * 2019-03-25 2020-10-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11088034B2 (en) * 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11043495B2 (en) 2019-05-29 2021-06-22 Samsung Electronics Co., Ltd. Integrated circuit semiconductor device and method of manufacturing the same
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
KR20210024390A (ko) 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11710667B2 (en) * 2019-08-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same
CN110911494B (zh) * 2019-10-29 2021-05-07 华东师范大学 一种非对称侧墙结构的纳米片环栅场效应晶体管
US11257815B2 (en) * 2019-10-31 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Work function design to increase density of nanosheet devices
KR20210059471A (ko) 2019-11-15 2021-05-25 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11594614B2 (en) 2020-03-30 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. P-metal gate first gate replacement process for multigate devices
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
US11404554B2 (en) * 2020-05-15 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
US11444198B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11508736B2 (en) * 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices
KR20220014534A (ko) * 2020-07-29 2022-02-07 삼성전자주식회사 반도체 장치
US11594610B2 (en) 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11728401B2 (en) 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
KR20220115245A (ko) 2021-02-10 2022-08-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN113013251A (zh) * 2021-02-26 2021-06-22 中之半导体科技(东莞)有限公司 一种具有栅极填充结构的mosfet管

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512503A (en) * 1994-11-23 1996-04-30 United Microelectronics Corporation Method of manufacture of a split gate flash EEPROM memory cell
CN1487599A (zh) * 2002-10-01 2004-04-07 ���ǵ�����ʽ���� 具有多个叠置沟道的场效应晶体管
CN1661785A (zh) * 2004-02-10 2005-08-31 三星电子株式会社 场效应晶体管及其制造方法
CN103296086A (zh) * 2012-03-02 2013-09-11 台湾积体电路制造股份有限公司 用于半导体器件的栅极结构
CN104103506A (zh) * 2013-04-11 2014-10-15 中国科学院微电子研究所 半导体器件制造方法
CN105448683A (zh) * 2014-05-26 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
CN105720092A (zh) * 2014-12-17 2016-06-29 三星电子株式会社 半导体器件及其制造方法
US9589850B1 (en) * 2015-12-10 2017-03-07 Globalfoundries Inc. Method for controlled recessing of materials in cavities in IC devices
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120306026A1 (en) 2011-05-31 2012-12-06 International Business Machines Corporation Replacement gate electrode with a tungsten diffusion barrier layer
US8658497B2 (en) * 2012-01-04 2014-02-25 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9263338B2 (en) * 2013-10-23 2016-02-16 Stmicroelectronics, Inc. Semiconductor device including vertically spaced semiconductor channel structures and related methods
US9293551B2 (en) * 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts
US9508712B2 (en) * 2014-01-02 2016-11-29 Globalfoundries Inc. Semiconductor device with a multiple nanowire channel structure and methods of variably connecting such nanowires for current density modulation
US10109534B2 (en) * 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US9318552B2 (en) 2014-05-21 2016-04-19 Globalfoundries Inc. Methods of forming conductive contact structures for a semiconductor device with a larger metal silicide contact area and the resulting devices
US9450046B2 (en) * 2015-01-08 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with fin structure and wire structure and method for forming the same
CA2976678A1 (en) * 2015-02-16 2016-08-25 The Regents Of The University Of Michigan Systems and methods for performing immunoassays
US9502414B2 (en) 2015-02-26 2016-11-22 Qualcomm Incorporated Adjacent device isolation
US9613871B2 (en) * 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9837416B2 (en) 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
EP3127862B1 (en) 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US9660033B1 (en) * 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US10276574B2 (en) * 2016-07-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10103065B1 (en) * 2017-04-25 2018-10-16 International Business Machines Corporation Gate metal patterning for tight pitch applications

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512503A (en) * 1994-11-23 1996-04-30 United Microelectronics Corporation Method of manufacture of a split gate flash EEPROM memory cell
CN1487599A (zh) * 2002-10-01 2004-04-07 ���ǵ�����ʽ���� 具有多个叠置沟道的场效应晶体管
CN1661785A (zh) * 2004-02-10 2005-08-31 三星电子株式会社 场效应晶体管及其制造方法
CN103296086A (zh) * 2012-03-02 2013-09-11 台湾积体电路制造股份有限公司 用于半导体器件的栅极结构
CN104103506A (zh) * 2013-04-11 2014-10-15 中国科学院微电子研究所 半导体器件制造方法
CN105448683A (zh) * 2014-05-26 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
CN105720092A (zh) * 2014-12-17 2016-06-29 三星电子株式会社 半导体器件及其制造方法
US9589850B1 (en) * 2015-12-10 2017-03-07 Globalfoundries Inc. Method for controlled recessing of materials in cavities in IC devices
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates

Also Published As

Publication number Publication date
KR102301251B1 (ko) 2021-09-10
US10566245B2 (en) 2020-02-18
US20180315667A1 (en) 2018-11-01
KR20180120068A (ko) 2018-11-05
CN108807277A (zh) 2018-11-13

Similar Documents

Publication Publication Date Title
CN108807277B (zh) 栅极环绕半导体器件及其制作方法
CN109427873B (zh) 具有粗糙阻挡层的金属栅极的结构和方法
US20220208615A1 (en) Dielectric Fins With Different Dielectric Constants and Sizes in Different Regions of a Semiconductor Device
TWI382498B (zh) 半導體元件的製造方法
US20170076946A1 (en) Fin Field Effect Transistor (FinFET) Device with Controlled End-to-End Critical Dimension and Method for Forming the Same
US11594614B2 (en) P-metal gate first gate replacement process for multigate devices
KR20180121321A (ko) 비대칭 컨택을 구비한 finfet 디바이스를 위한 구조 및 방법
CN113113491B (zh) 半导体器件及其形成方法
CN113054026B (zh) 半导体器件及其形成方法
CN110729350A (zh) 多栅极半导体装置的制作方法
US10707131B2 (en) Semiconductor device and manufacturing method thereof
US11688736B2 (en) Multi-gate device and related methods
TWI772935B (zh) 半導體裝置及其製造方法
CN113764408A (zh) 半导体装置
KR20200066551A (ko) 반도체 디바이스 및 방법
CN221239614U (zh) 半导体结构
US20220367483A1 (en) Semiconductor device having an offset source/drain feature and method of fabricating thereof
CN113314609A (zh) 半导体器件及方法
CN113363213A (zh) 半导体器件及其形成方法
CN114078846A (zh) 半导体器件的接触插塞结构及其形成方法
US20230238279A1 (en) Semiconductor device and manufacturing method thereof
KR102541232B1 (ko) 반도체 디바이스 및 방법
CN114975275A (zh) 半导体器件和方法
CN110729247A (zh) 半导体结构和形成集成电路结构的方法
CN113053885A (zh) 半导体器件和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant