CN108231777A - 反熔丝器件和包括该反熔丝器件的存储器件 - Google Patents

反熔丝器件和包括该反熔丝器件的存储器件 Download PDF

Info

Publication number
CN108231777A
CN108231777A CN201711283435.1A CN201711283435A CN108231777A CN 108231777 A CN108231777 A CN 108231777A CN 201711283435 A CN201711283435 A CN 201711283435A CN 108231777 A CN108231777 A CN 108231777A
Authority
CN
China
Prior art keywords
transistor
programming
grid
gate
antifuse device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711283435.1A
Other languages
English (en)
Other versions
CN108231777B (zh
Inventor
李东贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108231777A publication Critical patent/CN108231777A/zh
Application granted granted Critical
Publication of CN108231777B publication Critical patent/CN108231777B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/18Auxiliary circuits, e.g. for writing into memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • H10B20/25One-time programmable ROM [OTPROM] devices, e.g. using electrically-fusible links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

一种反熔丝器件包括编程晶体管和读晶体管。编程晶体管经由栅极绝缘层的绝缘击穿执行编程。读晶体管邻近编程晶体管并读取编程晶体管的状态。编程晶体管的第一栅极电极或读晶体管的第二栅极电极的至少之一被掩埋在基板中。

Description

反熔丝器件和包括该反熔丝器件的存储器件
技术领域
在这里描述的一个或更多个实施方式涉及反熔丝器件以及包括该反熔丝器件的存储器件。
背景技术
正在试图提高半导体器件的运行速度和存储容量。同时,已经提出了各种技术来改善它们的集成、可靠性和响应速度。在制造期间,缺陷会发生在存储单元和/或半导体器件的其它特征中。这些缺陷会不利地影响整体性能。
发明内容
根据一个或更多个实施方式,一种反熔丝器件包括:编程晶体管,用于经由栅极绝缘层的绝缘击穿执行编程;以及读晶体管,邻近编程晶体管,用于读取编程晶体管的状态,其中编程晶体管的第一栅极电极或读晶体管的第二栅极电极的至少之一被掩埋在基板中。
根据一个或更多个实施方式,一种包含反熔丝器件的半导体器件包括:包括第一栅极结构和第一杂质区的编程晶体管,第一栅极结构包括被掩埋在基板中的掩埋栅极电极,其中栅极绝缘层在掩埋栅极电极和基板之间,第一杂质区布置在基板的邻近第一栅极结构的上部分上,编程晶体管用于经由栅极绝缘层的绝缘击穿执行编程;以及邻近编程晶体管的读晶体管,读晶体管包括第二栅极结构、第一杂质区和第二杂质区,第二栅极结构包括在基板的上表面上的平面栅极电极,其中栅极绝缘层在平面栅极电极与基板的上表面之间,第一杂质区在第二栅极结构的侧表面上,第二杂质区在基板的上部分上,在第二栅极结构的另一侧表面上,读晶体管用于读取编程晶体管的状态。
根据一个或更多个其它实施方式,一种存储器件包括:反熔丝器件,包括布置成二维(2D)阵列结构的多个反熔丝单位单元;修复电路,用于在修复操作中基于接收到的地址施加编程电压到反熔丝器件中的对应的反熔丝单位单元;以及包括正常存储单元块和备用存储单元块的存储单元块,备用存储单元块中的备用存储单元而不是正常存储单元块中的故障存储单元将由于储存在反熔丝器件中的冗余信息而被访问,其中所述多个反熔丝单位单元的每个包括:编程晶体管,用于基于栅极绝缘层的绝缘击穿而执行编程,其中栅极绝缘层的绝缘击穿是基于被施加到编程晶体管的编程电压;以及读晶体管,邻近编程晶体管,用于读取编程晶体管的状态,其中编程晶体管的第一栅极电极或读晶体管的第二栅极电极的至少之一被掩埋在基板中。
根据一个或更多个其它实施方式,一种反熔丝器件包括:在第一区域中的第一晶体管;以及在第二区域中的第二晶体管,其中第一晶体管具有第一结构以及第二晶体管具有不同于第一结构的第二结构,以及其中第一结构是掩埋单元阵列晶体管结构或凹陷沟道阵列晶体管结构。
附图说明
对于本领域的技术人员来说,通过参考附图详细描述示例性实施方式,特征将变得明显,在图中:
图1A示出了反熔丝器件的一实施方式,图1B示出了沿图1A中的线I-I'截取的视图;
图2A和2B示出电路图的示例,用于描述反熔丝器件的操作;
图3A至12示出反熔丝器件的额外实施方式;
图13示出反熔丝阵列的一实施方式;
图14示出存储器件的一实施方式;
图15示出存储器件和存储器控制器的一实施方式;
图16示出对于存储器件的修复工艺的一实施方式;
图17A至17I示出根据一实施方式的用于制造反熔丝器件的方法的阶段;以及
图18A至18D示出根据另一实施方式的用于制造反熔丝器件的方法的阶段。
具体实施方式
图1A示出了反熔丝器件100的一实施方式,图1B示出了沿图1A中的线I-I'截取的剖视图。参考图1A和1B,反熔丝器件100可以包括在半导体基板101上的编程晶体管T0和读晶体管T1。编程晶体管T0和读晶体管T1可以形成反熔丝器件100的单位单元。
半导体基板101可以对应于体硅晶片或绝缘体上硅(SOI)晶片。在一个实施方式中,半导体基板101可以包括诸如锗(Ge)的IV族半导体、诸如硅锗(SiGe)或碳化硅(SiC)的IV-IV族化合物半导体、或诸如砷化镓(GaAs)、砷化铟(InAs)或磷化铟(InP)的III-V族化合物半导体。半导体基板101可以对应于SiGe晶片、外延晶片、被抛光的晶片或被退火的晶片。
半导体基板101可以是例如包括p型杂质离子的p型基板。在一个实施方式中,半导体基板101可以是包括n型杂质离子的n型基板。浅沟槽隔离(STI)150可以形成在半导体基板101的上部分上,作为限定有源区ACT的器件隔离层。在一个实施方式中,有源区ACT可以包括通过以高浓度将杂质离子(例如掺杂剂)注入到半导体基板101中而形成的杂质区。例如,有源区ACT可以包括通过以等于或大于1E20/cm3的浓度将杂质离子注入到半导体基板101的上部分的在读晶体管T1的栅极结构130的不同侧而形成的源极/漏极区103a和103b、以及在栅极结构130下面的沟道区105。源极/漏极区103a和103b可以包括高浓度掺杂区103h和103h'以及低浓度掺杂区103l,例如轻掺杂漏极(LDD)区。
STI 150可以围绕对应于反熔丝器件100的单位单元的有源区ACT。STI150可以在有源区ACT之间以电隔离有源区ACT。STI 150可以包括例如硅氧化物层、硅氮化物层、硅氮氧化物层或其组合。
反熔丝器件100的单位单元,如之前表明的,可以包括编程晶体管T0和读晶体管T1。编程晶体管T0可以具有例如基于电应力诸如编程电压或熔断电流从高阻态变到低阻态的变化的导电状态。例如,编程晶体管T0的导电状态可以通过基于施加到编程晶体管T0的编程电压产生栅极绝缘层123的绝缘击穿而从高阻态变到低阻态。编程晶体管T0可以具有晶体管的结构,但是可以不执行普通晶体管的功能。
在根据本实施方式的反熔丝器件100中,编程晶体管T0可以包括栅极结构120和源极/漏极区103b。源极/漏极区103b可以形成在栅极结构120的在布置读晶体管T1的方向上的仅一个侧表面上。这是因为,在编程晶体管T0中,源极/漏极区103b仅起电流路径的作用,而不执行普通晶体管的源极/漏极功能。此外,源极/漏极区103b被共享为读晶体管T1的源极区。因而,源极/漏极区103b可以仅是编程晶体管T0中的电流路径区,并且可以是读晶体管T1中的实质上源极区。
如上所述,源极/漏极区103b通过以预定高浓度用杂质离子掺杂半导体基板101的上部分形成。取决于制造工艺,源极/漏极区可以形成在栅极结构120的不同侧。此外,如图1B所示,当STI 150而不是源极/漏极区布置在栅极结构120的另一侧并且没有额外的杂质区形成在STI 150和栅极结构120之间时,编程晶体管T0可以具有处于浮置状态的一个端子(例如,参考图2A)。然而,当杂质区布置在STI 150和栅极结构120之间时,编程晶体管T0也可以保持浮置状态。STI 150可以与栅极结构120间隔开第一距离S1。因而,半导体基板101的上表面可以在STI 150和栅极结构120之间暴露。
栅极结构120可以包括栅极电极121、栅极绝缘层123和盖层125。如图1A所示,栅极结构120可以在第二(例如,Y)方向上延伸。在其中布置源极/漏极区103a和103b的有源区ACT可以具有在第一(例如,X)方向上的伸长形状从而交叉栅极结构120。
栅极电极121可以如图1B所示地被掩埋在半导体基板101中,并且可以包括诸如钨(W)的金属材料。在一个实施方式中,栅极电极121可以使用除W以外的金属材料形成,或可以通过使用诸如多晶硅的非金属材料形成。具有其中栅极电极121完全被掩埋在半导体基板101中的结构的晶体管可以被称为掩埋单元阵列晶体管(BCAT)。因而,在根据本实施方式的反熔丝器件100中,可以理解的是,编程晶体管T0具有BCAT结构。
栅极绝缘层123可以在栅极电极121和半导体基板101之间并且可以围绕栅极电极121。例如,栅极绝缘层123可以围绕栅极电极121使得栅极电极121与半导体基板101绝缘。栅极绝缘层123可以包括诸如氧化物层、氮化物层或氮氧化物层的绝缘材料。例如,在根据本实施方式的反熔丝器件100中,栅极绝缘层123可以包括硅氧化物(SiO2)层。
盖层125可以在栅极电极121和栅极绝缘层123上,并且可以被掩埋在半导体基板101中。盖层125可以包括诸如氧化物层、氮化物层或氮氧化物层的绝缘材料。例如,在根据本实施方式的反熔丝器件100中,栅极绝缘层123可以包括硅氮化物(SiNx)层。
栅极结构120可以具有从半导体基板101的上表面Sf起的第一深度D1。栅极结构的深度可以小于STI 150的深度。例如,栅极结构120的第一深度D1可以等于或小于100nm。在根据本实施方式的反熔丝器件100中,栅极结构120的第一深度D1可以是例如大约60至大约70nm。在另一实施方式中,栅极结构120的深度可以不同。
读晶体管T1可以包括栅极结构130、源极/漏极区103a和103b以及沟道区105。读晶体管T1也可以被称为存取晶体管或路径晶体管。栅极结构130可以包括栅极电极131、栅极绝缘层133、盖层135和间隔物137。如图1A所示,栅极结构130也可以在第二(Y)方向上延伸并且可以交叉在第一(X)方向上具有长形状的有源区ACT。
栅极电极131可以形成在半导体基板101的上表面Sf上,其中栅极绝缘层133插置在栅极电极131与半导体基板101的上表面Sf之间。具有其中栅极电极131形成在半导体基板101的上表面Sf上的结构的晶体管一般被称为平面晶体管。因而,在根据本实施方式的反熔丝器件100中,读晶体管T1被理解为具有平面结构。栅极电极131可以包括例如多晶硅。在一个实施方式中,栅极电极131可以包括诸如W的金属材料。
栅极绝缘层133可以在半导体基板101的上表面Sf与栅极电极121之间,并且可以包括氧化物层、氮化物层或氮氧化物层。例如,栅极绝缘层133可以包括硅氧化物层。盖层135可以在栅极绝缘层133上并且可以包括氧化物层、氮化物层或氮氧化物层。例如,盖层135可以包括硅氮化物层。间隔物137可以在栅极电极131、栅极绝缘层133和盖层135的不同侧表面处,并且可以包括诸如氧化物层、氮化物层或氮氧化物层的绝缘材料。例如,间隔物137可以包括硅氧化物层或硅氮氧化物层。与图1B不同,间隔物137可以具有L形状。此外,间隔物137可以形成为单层或多层。
源极/漏极区103a和103b可以在半导体基板101的上部分上在栅极结构130的不同侧表面处。沟道区105可以在半导体基板101的上部分上,在栅极结构130下面并在源极区103b与漏极区103a之间。读晶体管T1是普通的晶体管。因而,源极/漏极区103a和103b和沟道区105可以执行与普通晶体管的源极/漏极区和沟道区实质上相同的功能。如图1B所示,用于与位线连接的接触170可以形成在源极/漏极区103a和103b上,也就是,在右侧的漏极区103a上。接触170可以穿透覆盖读晶体管T1的栅极结构130的层间绝缘层。
在根据本实施方式的反熔丝器件100中,读晶体管T1的在左侧的源极区103b和读晶体管T1的在右侧的漏极区103a可以具有不同的深度。例如,在左侧的源极区103b可以具有从半导体基板101的上表面Sf起的第二深度D2。在右侧的漏极区103a可以具有从半导体基板101的上表面Sf起的第三深度D3。如图1B所示,源极区103b的第二深度D2可以大于漏极区103a的第三深度D3。例如,源极区103b的第二深度D2可以等于或大于40nm,漏极区103a的第三深度D3可以是大约20至大约30nm。在其它实施方式中,源极区103b和漏极区103a的深度可以是不同的。
在根据本实施方式的反熔丝器件100中,源极区103b比漏极区103a深的原因可以是由于编程晶体管T0的BCAT结构。例如,因为编程晶体管T0的栅极电极121被掩埋在半导体基板101中,所以当栅极电极121(例如,栅极电极121的下表面)与源极区103b之间的距离增加时,栅极绝缘层123的绝缘击穿会是困难的并且在绝缘击穿之后的电流路径会增长。一般而言,基于编程电压的栅极绝缘层123的绝缘击穿在栅极电极121的下表面部分发生。因此,可以产生电流路径(通过虚线箭头示出)。因而,为了容易地产生绝缘击穿并减短电流路径,源极区103b可以形成为比漏极区103a深。例如,如图1B所示,源极区103b的下表面的高度H1可以小于栅极电极121的上表面的高度H2。
在根据本实施方式的反熔丝器件100中,编程晶体管T0可以具有BCAT结构。因而,反熔丝器件100的总面积可以显著地减小。例如,在以前的反熔丝器件的情形下,编程晶体管T0与读晶体管T1一起形成为平面结构。在平面结构的情形下,为了满足所需的电特性,栅极结构的宽度(例如,栅极电极的宽度)可以减小的量存在限制。
例如,在以前的反熔丝器件中,编程晶体管T0和读晶体管T1具有平面结构和具有至少大约45至大约50nm的宽度的栅极结构。在这种情形下,更进一步地减小所述宽度会是困难的或者不可能的。
相反,在根据本实施方式的反熔丝器件100的情况下,编程晶体管T0具有BCAT结构。因而,关于栅极结构的宽度的减小的限制可以被解决。例如,在根据本实施方式的反熔丝器件100中,编程晶体管T0的栅极结构120可以在第一(X)方向上具有等于或小于30nm的第一宽度W1。然而,在读晶体管T1的情形下,因为晶体管的电特性必须保持,所以读晶体管T1可以具有在第一(X)方向上仍然是大约50nm的第二宽度W2。读晶体管T1的电特性是晶体管的导通电流特性。例如,读晶体管T1的导通电流可以等于或高于20μA。因而,根据本实施方式,反熔丝器件100可以具有显著地减小的总尺寸,而同时允许实现预定的(例如,高)运行速度。
此外,在根据本实施方式的反熔丝器件100中,具有BCAT结构的编程晶体管T0和具有平面结构的读晶体管T1可以形成一个单位单元。反熔丝器件100可以通过以这种结构重复地形成单位单元而具有二维(2D)阵列结构。因而,对于反熔丝器件的布局,根据本实施方式的反熔丝器件100可以对于实现有节距的布局是有利的。此外,根据本实施方式的反熔丝器件100可以在制造工艺方面是有利的,因为编程晶体管T0和读晶体管T1可以通过互补金属氧化物半导体(CMOS)工艺形成。
图2A和2B示出用于描述图1的反熔丝器件100的操作的电路图的实施方式。在编程电压被施加到如图2A所示的编程晶体管T0的第一区域(例如,字线编程(WLP)区域)中的栅极结构之前,由于栅极绝缘层(图1B的123),在栅极结构WLP和源极/漏极区103b之间保持高阻态。因而,当预定电压被施加在编程晶体管T0的栅极结构WLP和位线BL之间,并且操作电压被施加到读晶体管T1的第二区域(例如,字线读(WLR)区域)的栅极结构时,流到位线BL的电流量可以减小。
当高编程电压被施加到编程晶体管T0的栅极结构WLP时,栅极绝缘层123的绝缘击穿发生。结果,编程晶体管T0可以变成低阻态。在图2B中,编程晶体管T0被示出为电阻器,而不是晶体管,从而表示编程晶体管T0变成低阻态。当编程晶体管T0变成低阻态时,并且当预定电压被施加在编程晶体管T0的栅极结构WLP与位线BL之间且操作电压被施加到读晶体管T1的栅极结构WLR时,流到位线BL的电流可以相对较高。因而,当高编程电压被施加到编程晶体管T0时,反熔丝器件100可以从断开状态(例如,小电流状态)变成接通状态(例如,高电流状态)。
反熔丝器件100将具有包括单位单元的2D阵列结构,其中每个单位单元由一个编程晶体管T0和一个读晶体管T1形成或者包括一个编程晶体管T0和一个读晶体管T1。每个单位单元可以例如对应于存储器件的存储单元。因此,当在存储器件的存储单元中发生故障时,通过将反熔丝器件100的相应单位单元的状态从断开状态改变为接通状态,在其中发生故障的存储单元可以被冗余的存储单元或备用的存储单元代替。
图3A至12示出反熔丝器件的额外的实施方式的剖视图。参考图3A,根据本实施方式的反熔丝器件100a可以在STI 150a与编程晶体管T0间隔开的距离方面不同于图1B的反熔丝器件100。例如,在根据本实施方式的反熔丝器件100a中,STI 150a可以形成为接触编程晶体管T0的栅极结构120的侧表面。例如,如图3A所示,STI 150a的上部分和栅极结构120的上部分(例如,盖层125的上部分)可以彼此接触。因此,STI 150a与栅极结构120之间的半导体基板101可以不暴露。
编程晶体管T0不执行普通晶体管的功能。因而,源极/漏极区不需要形成于反熔丝器件100a的在该处布置STI 150a的一侧。因而,如根据本实施方式的反熔丝器件100a中所示,即使STI 150a接触栅极结构120的侧表面,也不会发生功能问题。此外,与图1B的反熔丝器件100相比,STI 150a与栅极结构120在第一(X)方向上间隔开的第一距离S1减小。因而,反熔丝器件100a的面积可以减小。
参考图3B,根据本实施方式的反熔丝器件100b可以在STI 150b与编程晶体管T0间隔开的距离方面不同于图1B和3A的反熔丝器件100和100a。例如,在根据本实施方式的反熔丝器件100b中,STI 150b可以形成为交叠编程晶体管T0的栅极结构120的一部分。例如,如图3B所示,STI 150b的上部分交叠栅极结构120的盖层125的上部分。STI 150b和栅极结构120彼此交叠的宽度基于盖层125的上表面可以是在第一(X)方向上的第二距离S2。在编程晶体管T0的功能方面,当STI 150b不侵入栅极结构120的右侧的源极/漏极区103b中时,第二距离S2可以不受很大限制。
作为参考,盖层125中的虚线不表示实际形成STI 150b的部分,而是表示STI 150b交叠盖层125的范围。例如,当栅极结构120的栅极绝缘层123包括硅氧化物层时,盖层125包括硅氮化物层并且STI 150b包括硅氧化物层,STI 150b和栅极绝缘层123的边界没有如图3B所示地显示。盖层125可以是完整的而STI 150b的右上侧可以被盖层125侵入。相反,当STI 150b包括硅氮化物层时,STI 150b和栅极绝缘层123的边界可以被显示,并且STI150b和盖层125的边界可以不被显示。
在根据本实施方式的反熔丝器件100b中,半导体基板101在STI 150b和栅极结构120之间不暴露。与图1B的反熔丝器件100相比,STI 150a与栅极结构120在第一(X)方向上间隔开的第一距离S1减小。此外,STI 150b和栅极结构120彼此交叠的第二距离S2减小,这可以进一步有助于减小反熔丝器件100b的面积。
参考图4,根据本实施方式的反熔丝器件100c可以在编程晶体管T0的栅极结构120c的结构方面不同于图1B的反熔丝器件100。例如,在根据本实施方式的反熔丝器件100c中,栅极结构120c的栅极绝缘层123a可以不仅围绕栅极电极121的侧表面而且围绕盖层125的侧表面。栅极绝缘层123a的结构可以通过在形成栅极结构120c的过程中在去除栅极电极121的上部分的同时不去除而是保留栅极绝缘层实现。
参考图5,根据本实施方式的反熔丝器件100d可以在编程晶体管T0和读晶体管T1之间共用的源极/漏极区103b'的结构方面不同于图1B的反熔丝器件100。例如,在根据本实施方式的反熔丝器件100d中,读晶体管T1的源极区103b'可以具有与漏极区103a基本上相同的深度。例如,读晶体管T1的源极区103b'可以具有等于漏极区103a的深度的第三深度D3。
如果发生编程晶体管T0的绝缘击穿并且在绝缘击穿之后保持电流特性,则编程晶体管T0的源极/漏极区(例如,读晶体管T1的源极区)的深度可能不是重要问题。因而,在根据本实施方式的反熔丝器件100d中,读晶体管T1的源极区103b'可以具有与漏极区103a基本上相同的深度。此外,如图5中所示,读晶体管T1的源极区103b'的下表面可以高于编程晶体管T0的栅极结构120的栅极电极121的上表面。
如在根据本实施方式的反熔丝器件100d的情况下,当读晶体管T1的源极区103b'与漏极区103a具有相同的深度时,用于形成源极区103b'和漏极区103a的杂质离子注入工艺会变得较不复杂。例如,读晶体管T1的源极/漏极区103a和103b'可以通过在不用额外的掩模图案的情况下使用栅极结构120作为掩模执行杂质离子注入工艺而更容易地形成。
参考图6A,根据本实施方式的反熔丝器件100e可以在以下方面不同于图1B的反熔丝器件100:反熔丝器件100e具有有凹陷沟道阵列晶体管(RCAT)结构的编程晶体管T0。例如,在根据本实施方式的反熔丝器件100e中,编程晶体管T0的栅极结构120e可以包括栅极电极121a和栅极绝缘层123b,并且仅栅极电极121a的下部分被掩埋在半导体基板101中。
一般而言,具有其中栅极电极完全被掩埋在半导体基板101中的结构的晶体管可以被称为BCAT。具有其中仅栅极电极的一部分被掩埋在半导体基板101中的结构的晶体管可以被称为RCAT。在一个实施方式中,BCAT可以如图6B所示地从RCAT或球形RCAT(SRCAT)发展。具有填充半导体基板101中的沟槽的栅极电极的结构的所有晶体管可以被解释为RCAT。当RCAT被这样解释时,BCAT和SRCAT也可以被包括于RCAT中。
在根据本实施方式的反熔丝器件100e中,编程晶体管T0的栅极结构120e的栅极电极121a可以包括多晶硅或诸如钨的金属材料。在另一实施方式中,栅极电极121a的材料可以不同。
在根据本实施方式的反熔丝器件100e中,从半导体基板101的上表面Sf起的栅极结构120e(例如,栅极电极121a)被掩埋的深度可以小于图1B的反熔丝器件100的栅极结构120的深度。此外,编程晶体管T0的源极/漏极区103b'(例如,读晶体管T1的源极区103b')可以具有等于漏极区103a的深度的第三深度D3。在一个实施方式中,读晶体管T1的源极区103b'可以具有大于第三深度D3的深度。例如,读晶体管T1的源极区103b'可以如在图1B的反熔丝器件100的情况下那样具有第二深度D2。
根据本实施方式的反熔丝器件100e具有有RCAT结构的编程晶体管T0。因而,反熔丝器件100e的总面积可以减小。此外,对于反熔丝器件的布局,反熔丝器件100e可以对于实现有节距的布局是更有利的。
参考图6B,根据本实施方式的反熔丝器件100f可以在以下方面不同于图1B的反熔丝器件100:反熔丝器件100f具有有SRCAT结构的编程晶体管T0。例如,在根据本实施方式的反熔丝器件100f中,编程晶体管T0的栅极结构120f具有其中栅极电极121b的下部分具有球形状的结构。栅极电极121b被掩埋在半导体基板101中,其中栅极绝缘层123c在栅极电极121b和半导体基板101之间。包括有这种结构的栅极结构120f的晶体管可以被称为SRCAT,以使其与RCAT区分开。然而,这种晶体管也可以被包括于RCAT中,如上所述。
参考图6C,根据本实施方式的反熔丝器件100g可以在编程晶体管T0的栅极结构120g的结构方面不同于图6A的反熔丝器件100e。例如,在根据本实施方式的反熔丝器件100g中,栅极结构120g可以包括栅极电极121c和栅极绝缘层123d。栅极电极121c的上表面和栅极绝缘层123d的上表面可以形成与半导体基板101的上表面基本上相同的面。在用栅极电极材料填充半导体基板101中的沟槽之后,具有这种结构的栅极结构120g可以经由平坦化工艺诸如化学机械抛光(CMP)实现。
参考图7,根据本实施方式的反熔丝器件100h可以在编程晶体管T0的栅极结构120h的结构方面不同于图6A的反熔丝器件100e。例如,在根据本实施方式的反熔丝器件100h中,栅极结构120h可以包括栅极绝缘层123d以及具有双层结构的栅极电极121d。双层结构可以包括下栅极电极121-1和上栅极电极121-2。下栅极电极121-1可以包括多晶硅,上栅极电极121-2可以包括诸如W的金属材料。具有这种结构的栅极结构120h可以通过形成与图6C中的栅极结构120g具有相同结构的栅极结构以及然后图案化在其上的金属层实现。
参考图8,根据本实施方式的反熔丝器件100i可以在读晶体管T1的栅极结构130a的结构方面不同于图1A的反熔丝器件100。例如,在根据本实施方式的反熔丝器件100i中,读晶体管T1的栅极结构130a可以实现高k金属栅(HKMG)结构。HKMG结构可以是例如通过使用高k材料形成栅极绝缘层133a以及使用金属材料形成栅极电极131a而形成的结构。
栅极绝缘层133a可以例如使用高k材料诸如HfO2、HfSiO4、HfSiON、HfON、HfAlO、HfLaO、ZrO2、ZrSiO4、TaO2、Ta2O5、Al2O3、La2O3、TiO2、Y2O3等形成。
栅极电极131a可以使用诸如W的金属材料形成。此外,栅极电极131a可以使用TiN而不是W形成,或者可以使用不同的材料形成。
当栅极结构130a形成为具有HKMG结构时,由于HKMG结构的特性,即使栅极结构的尺寸减小,也可以获得用于操作的电特性。因而,在根据本实施方式的反熔丝器件100i中,栅极结构130a可以在第一(X)方向上具有第三宽度W3。第三宽度W3可以小于图1B的读晶体管T1的栅极结构130的第二宽度W2。例如,栅极结构130a可以在第一(X)方向上具有等于或小于40nm的宽度。
根据本实施方式的反熔丝器件100i具有有HKMG结构的读晶体管T1。因而,即使读晶体管T1具有平面结构,反熔丝器件的总面积也可以小于图1A的反熔丝器件100的总面积。
参考图9,根据本实施方式的反熔丝器件100j可以在读晶体管T1的栅极结构130b方面不同于图8的反熔丝器件100i。例如,在反熔丝器件100j中,读晶体管T1的栅极结构130b可以形成有HKMG结构并且可以包括具有双层的栅极电极131b。因而,栅极电极131b可以包括下金属层131-1和上金属层131-2。
下金属层131-1可以包括TiN并且上金属层131-2可以包括W。在一个实施方式中,下金属层131-1可以包括包含含有Ti或Ta的Al化合物的金属层。例如,下金属层131-1可以是包括TiAlC、TiAlN、TiAlC-N、TiAl、TaAlC、TaAlN、TaAlC-N或TaAl的金属层。此外,下金属层131-1可以包括包含Mo、Pd、Ru、Pt、TiN、WN、TaN、Ir、TaC、RuN或MoN的金属层。在一些情形下,上金属层131-2可以被省略,仅下金属层131-1可以被包括在栅极电极131b中。下金属层131-1可以是单层或可以由多层形成。
参考图10,根据本实施方式的反熔丝器件100k可以在读晶体管T1的栅极结构130c方面不同于图8的反熔丝器件100i或图9的反熔丝器件100j。例如,在根据本实施方式的反熔丝器件100k中,基于HKMG结构,读晶体管T1的栅极结构130c可以是置换金属栅(RMG)结构。RMG结构可以通过使用虚设栅极结构形成源极/漏极区以及然后在从其去除了虚设栅极的部分处形成金属栅极而形成,因而可以被称为后栅极结构。
在根据本实施方式的反熔丝器件100k中,因为栅极结构130c形成为RMG结构,所以栅极绝缘层133b具有覆盖半导体基板101的上表面和间隔物137的侧壁的结构。栅极电极131c可以具有覆盖栅极电极131c下面的栅极绝缘层133b的底表面和侧壁的结构。栅极电极131c可以具有包括下金属层131-1'和上金属层131-2'的双层结构。下金属层131-1'可以覆盖栅极绝缘层133b的底表面和侧壁。上金属层131-2'可以填充下金属层131-1'。
下金属层131-1'和上金属层131-2'的材料与参考图9的下金属层131-1和上金属层131-2描述的相同。此外,如参考图9描述的,上金属层131-2'可以被省略,仅下金属层131-1'可以被包括在栅极电极131c中,并且下金属层131-1'可以包括两个或更多层。
参考图11,根据本实施方式的反熔丝器件100l可以在以下方面完全不同于根据以上实施方式的反熔丝器件100以及100A至100K:反熔丝器件100l具有有BCAT结构的读晶体管T1。例如,在根据本实施方式的反熔丝器件100l中,读晶体管T1可以包括栅极结构130d、源极/漏极区103a'和103b″、和沟道区105a。
栅极结构130d可以包括栅极电极131d、栅极绝缘层133c和盖层135a,并且可以具有其中栅极电极131d完全被掩埋在半导体基板101中作为编程晶体管T0的栅极结构120的结构。例如,读晶体管T1可以具有BCAT结构。在根据本实施方式的反熔丝器件100l中,读晶体管T1可以实现HKMG结构以获得电流特性。因此,栅极绝缘层133c可以使用高k薄层形成并且栅极电极131d可以使用金属材料形成。栅极绝缘层133c和栅极电极131d的材料可以与参考图8描述的相同。
盖层135a可以包括诸如氧化物层、氮化物层或氮氧化物层的绝缘材料。例如,盖层135a可以包括硅氮化物层。
源极/漏极区103a'和103b″可以形成在半导体基板101的上部分上在栅极结构130d的不同侧。如图11所示,漏极区103a'可以比具有图1的平面结构的读晶体管T1的漏极区103a深。例如,漏极区103a'可以具有与源极区103b″的深度基本上相同的第二深度D2。漏极区103a'可以形成为具有大深度的一个原因是为了改善读晶体管T1的电特性。此外,当漏极区103a'具有与源极区103b″相同的深度时,注入杂质离子的工艺可以变得较不复杂,因而工艺难度会降低。
沟道区域105a可以邻近栅极结构130d的栅极电极131d以围绕栅极电极131d,如虚线所指示的。
在根据本实施方式的反熔丝器件100l的情况下,编程晶体管T0和读晶体管T1具有BCAT结构。因而,反熔丝器件100l的总尺寸可以减小。此外,在根据本实施方式的反熔丝器件100l中,读晶体管T1包括具有HKMG结构的栅极结构130d和形成为相对较深的源极/漏极区103a'和103b″。因而,读晶体管T1可以保持与当读晶体管T1具有平面结构时基本上相同的电特性。此外,因为编程晶体管T0和读晶体管T1具有BCAT结构并且源极/漏极区103a'和103b″具有相同的深度,所以制造工艺的难度可以显著地降低。此外,根据本实施方式的反熔丝器件100l可以对于实现有节距的布局是有利的。
在根据本实施方式的反熔丝器件100l中,描述了读晶体管T1的栅极结构130d的HKMG结构。然而,除读晶体管T1之外,HKMG结构也可以被应用到编程晶体管T0。当编程晶体管T0的栅极结构120具有HKMG结构时,编程晶体管T0和读晶体管T1可以具有基本上相同的结构。因此,根据本实施方式的反熔丝器件100l可以使用例如CMOS工艺容易地形成。
参考图12,根据本实施方式的反熔丝器件100m可以在读晶体管T1的栅极结构130e方面不同于图11的反熔丝器件100l。例如,在反熔丝器件100m中,读晶体管T1的栅极结构130e可以包括栅极绝缘层133c、具有双层结构的栅极电极131e、以及盖层135a。也就是,栅极电极131e可以包括下金属层131-1″和上金属层131-2″。下金属层131-1″可以具有围绕栅极绝缘层133c的下表面和侧壁的结构。上金属层131-2″可以具有填充下金属层131-1″的结构。下金属层131-1″和上金属层131-2″的材料可以与参考图9的下金属层131-1和上金属层131-2描述的相同。此外,如参考图9描述的,上金属层131-2″可以被省略,仅下金属层131-1″可以被包括在栅极电极131c中,下金属层131-1″可以包括两个或更多层。
图13示出电路图的一实施方式,用于描述反熔丝阵列和其相应的操作。如图13所示,反熔丝器件100可以具有其中多个单位单元布置成2D阵列图案的结构。每个单位单元包括一个编程晶体管T0和一个读晶体管T1。为了方便起见,图13示出八个单位单元,但是在其它实施方式中,可以包括不同数量的单位单元。例如,反熔丝器件100可以包括几百个、几千个或几百万个单位单元。
如图13所示,四个单位单元可以布置在反熔丝阵列的上部分中并且四个单位单元可以布置在反熔丝阵列的下部分中。位线BL1、BL2、BL3和BL4的每个可以共同连接到所述上部分的一个单位单元和所述下部分的一个单位单元的读晶体管T1的源极区。
通过这种连接结构,编程电压Vp可以被施加到所述上部分的单位单元的编程晶体管T0的栅极电极,源极电压Vpp可以被施加到所述上部分的单位单元的读晶体管T1的栅极电极,接地电压Vss可以被施加到所述下部分的单位单元的编程晶体管T0的栅极电极,接地电压Vss可以被施加到所述下部分的单位单元的读晶体管T1的栅极电极。此外,接地电压Vss可以仅被施加到所述四条位线BL1、BL2、BL3和BL4当中的是从左起的第三条的位线BL3。源极电压Vpp可以被施加到其它位线BL1、BL2和BL4。
当电压以这种方式被施加时,对于所述下部分的单位单元,接地电压Vss被施加到编程晶体管T0。因而,不会发生栅极绝缘层的绝缘击穿。对于所述上部分的单位单元,源极电压被施加到读晶体管T1。因而,读晶体管T1可以被导通,并且位线的电压可以经由读晶体管T1被施加到编程晶体管T0的源极/漏极区。
此外,因为编程电压Vp被施加到编程晶体管T0的栅极电极,所以取决于编程电压Vp与被施加到位线BL的电压之间的差异,会发生编程晶体管T0的栅极绝缘层的绝缘击穿。因为接地电压Vss被施加到第三位线BL3,所以仅会在单位单元(其是所述上部分的单位单元当中的从左起的第三个(由闪电标记表示))的编程晶体管T0中发生栅极绝缘层的绝缘击穿。因而,对应的编程晶体管T0可以具有低电阻的导通状态。
在一个实施方式中,源极电压Vpp可以是大约3V并且编程电压Vp可以等于或高于大约6V。在一个实施方式中,源极电压Vpp和编程电压Vp可以例如基于反熔丝器件100的单位单元中的编程晶体管T0和/或读晶体管T1的特性而可变地变化。
图14示出包括根据上述实施方式中的任一个的反熔丝器件的存储器件1000的一实施方式。参考图14,存储器件1000可以包括多个存储体(bank)200和反熔丝器件100。
八个存储体200被示出,但是在另一实施方式中,可以包括不同数量的存储体。当存在八个存储体200并且每个具有128兆字节(MB)的容量时,存储器件1000的容量可以是1千兆字节(GB)。每个存储体200可以包括包含正常存储单元和备用的或冗余的存储单元的多个存储单元。备用存储单元可以是当在正常存储单元中发生故障时将代替该正常存储单元的预备存储单元。
反熔丝器件100可以是例如图1B的反熔丝器件100。在一个实施方式中,除图1B的反熔丝器件100之外,存储器件1000还可以包括图3A至12的反熔丝器件100a至100l。反熔丝器件100可以包括2D阵列结构的多个单位单元,其中该单位单元对应于正常存储单元。当在正常存储单元中发生故障时,反熔丝器件100会用备用存储单元替换具有故障的所述正常存储单元。
存储器件1000可以是例如动态随机存取存储(DRAM)器件、快闪存储器件、磁随机存取存储(RAM)(MRAM)器件、铁电RAM(FeRAM)器件、电阻RAM(ReRAM)器件或相变RAM(PRAM)器件。此外,根据本实施方式的存储器件1000可以被实现为单芯片封装或多芯片封装。此外,存储器件1000可以被实现为层叠封装(PoP)、球栅阵列(BGA)、芯片级封装(CSP)、带引线的塑料芯片载体(PLCC)封装、塑料双列直插式封装(PDIP)、板上芯片(COB)封装、陶瓷双列直插式封装(CERDIP)、公制四方扁平封装(MQFP)、薄四方扁平封装(TQFP)、小外形IC(SOIC)封装、缩小的小外形封装(SSOP)、薄小外形封装(TSOP)、系统级封装(SIP)、多芯片封装(MCP)、晶片级封装(WFP)、或晶片级处理(WSP)层叠封装。
图15示出连接到存储器控制器2000的存储器件1000的一实施方式。参考图15,存储器件1000可以包括反熔丝器件100、存储单元块201和修复电路300。存储器件1000可以是例如DRAM器件或其它类型的存储器件。反熔丝器件100可以是例如图1B的反熔丝器件100。在一个实施方式中,除图1B的反熔丝器件100之外,存储器件1000还可以包括图3A至12的反熔丝器件100a至100l。
存储单元块201可以包括正常存储单元块(NMCB)210和备用存储单元块(SMCB)220。正常存储单元块210可以形成存储块。
修复电路300可以在修复操作期间基于施加到其的地址而施加熔断电流或编程电压到反熔丝器件100中的对应单位单元。此外,冗余信息可以基于该修复操作被储存在反熔丝器件100中。因此,存储单元块201的正常存储单元块210中的故障存储单元可以经由反熔丝器件100被备用存储单元块220中的备用存储单元代替。例如,在存储器存取操作期间,当用于访问故障存储单元的地址被施加到存储单元块201时,基于反熔丝器件100中储存的冗余信息,可以访问备用存储单元而不是故障存储单元。可以在行单元或列单元中执行修复操作。
关于存储器件1000的修复操作在存储器控制器2000的控制下执行。存储器控制器2000可以包括故障检查纠正(ECC)引擎2100和修复管理器2200。ECC引擎2100可以关于读取数据或写数据执行ECC操作以纠正位错误。修复管理器2200可以基于ECC引擎2100的故障纠正的结果而启动系统管理中断(SMI)产生控制信号。当SMI产生控制信号被启动时,SMI产生器可以产生SMI。SMI可以经由连接到系统总线的总线接口被提供到处理器。
图16示出图15的存储器件1000中的行单元中的修复操作的一示例。参考图16,存储单元块201可以包括正常存储单元块210和备用存储单元块220。正常存储单元块210可以是存储体的部分,多个存储体可以被包括在存储单元块201中。
正常存储单元块210可以包括分别连接到多个正常字线NWL1至NWLn的多个正常存储单元。例如,一个正常存储单元可以是包括一个存取晶体管和一个存储电容器的DRAM单元。
备用存储单元块220可以包括分别连接到多个备用字线SWL1至SWLm的多个备用存储单元。一个备用存储单元可以是如正常存储单元那样的DRAM单元。
图16示出其中当连接到正常字线NWL1至NWLn的第三正常字线NWL3的正常存储单元的至少之一被确定为具有故障时行单元中的第三正常字线NWL3被替换的示例。例如,第三正常字线NWL3可以经由修复操作被第一备用字线SWL1代替。
在存储器存取操作期间,当用于访问一行故障存储单元的行地址被施加到存储单元块201时,基于在反熔丝器件(例如,图15的100)中储存的冗余信息,第一备用字线SWL1(而不是第三正常字线NWL3)可以被使能。
行单元中的修复操作参考图16被描述。然而,也可以执行基于列地址执行列单元中的替换的修复操作。
图17A至17I示出用于制造图1B的反熔丝器件100的方法的一实施方式的各种各样的阶段。参考图17A,首先,限定有源区ACT的STI 150形成在半导体基板101上。半导体基板101可以包括硅基板、Ge基板、SiGe基板、SOI基板或其它类型的基板。STI 150可以通过在半导体基板101中形成沟槽至预定深度并且用绝缘层填充该沟槽而形成。STI 150可以包括例如硅氧化物层、硅氮化物层、硅氮氧化物层或其组合中的至少之一。
参考图17B,在形成STI 150之后,限定用于形成凹槽R1的部分的掩模图案190形成在半导体基板101上。半导体基板101的上表面,在其上将形成凹槽R1,可以通过掩模图案190的开口部分暴露。掩模图案190可以包括诸如硅氮化物层的氮化物层,或诸如硅氮氧化物层的氮氧化物层。例如,掩模图案190可以通过使用例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、低压CVD(LPCVD)或溅射工艺在半导体基板101上形成相应的材料层以及然后使用光刻工艺执行图案化而形成。
在形成掩模图案190之后,凹槽R1通过使用掩模图案190作为蚀刻掩模执行蚀刻工艺而形成在半导体基板101上。根据一实施方式,凹槽R1可以通过在半导体基板101的经由掩模图案190暴露的部分上执行各向异性蚀刻而形成。凹槽R1的侧壁可以具有朝向半导体基板101的上表面的小倾斜度。在一个实施方式中,蚀刻工艺可以被准确地控制以使得凹槽R1的侧壁几乎关于半导体基板101的上表面垂直。
根据另一实施方式,凹槽R1可以通过顺序地执行各向异性蚀刻和各向同性蚀刻使得凹槽R1具有有扩展轮廓的底部分而形成。通过该各向同性蚀刻,由该各向异性蚀刻形成的凹槽R1的底部分可以扩展为具有预定形状,例如圆形或椭圆形。因而,具有扩展的底部分的凹槽R1可以具有组合有倾斜轮廊的上凹槽与有圆化轮廓的下凹槽的结构。
参考图17C,绝缘层123l形成在凹槽R1中和掩模图案190的上表面上。绝缘层123l可以共形地形成在凹槽R1中和掩模图案190的上表面上。绝缘层123l可以包括例如硅氧化物层、硅氮化物层或硅氮氧化物层。此外,绝缘层123l可以包括高k材料层。例如,绝缘层123l可以包括例如参考图8描述的高k材料层。
参考图17D,在形成绝缘层123l之后,覆盖半导体基板101的导电层121l形成在绝缘层123l上使得凹槽R1可以被导电层121l充分地掩埋。导电层121l可以包括金属、多晶硅或导电的金属氮化物。当导电层121l包括多晶硅时,首先,多晶硅层可以形成在绝缘层123l上,然后,杂质离子可以扩散或注入在多晶硅层上,或在形成多晶硅层的同时,可以执行原位掺杂工艺以用杂质离子掺杂该多晶硅层。导电层121l可以形成为包括多晶硅和金属的多层或仅包括金属层的多层。
参考图17E,在形成导电层121l之后,导电层121l的一部分可以通过蚀刻去除,使得导电层121l仅保留在凹槽R1的下部分,从而形成栅极电极121。因此,栅极电极121可具有凹进形状。如图17E所示,栅极电极121的上表面可以低于半导体基板101的上表面。导电层121l的蚀刻可以例如通过回蚀工艺执行。
接着,暴露的绝缘层123l可以被蚀刻和去除以形成栅极绝缘层123。在一个实施方式中,绝缘层123l可以不被蚀刻,而是保留。当绝缘层123l保留时,栅极绝缘层123可以形成为具有与图4的栅极结构120c的栅极绝缘层123a的结构相同的结构。
参考图17F,在形成栅极电极121之后,覆盖材料层125l可以形成在栅极电极121和掩模图案190上以填充剩余的凹槽。覆盖材料层125l可以包括氧化物层、氮化物层或氮氧化物层。例如,覆盖材料层125l可以包括硅氮化物层。
参考图17G,在形成覆盖材料层125l之后,覆盖材料层125l和掩模图案190被蚀刻以暴露半导体基板101的上表面和STI 150的上表面。蚀刻方法可以是例如CMP。如果在图17E中形成栅极电极121时绝缘层123l没有被蚀刻而是保留,则绝缘层123l可以在CMP工艺中被一起蚀刻。
因而,在蚀刻工艺之后,盖层125可以形成,并且包括栅极电极121、栅极绝缘层123和盖层125的栅极结构120可以被完全形成。栅极结构120可以是例如图1B的反熔丝器件100中的编程晶体管T0的栅极结构120。因为盖层125通过CMP工艺形成,所以半导体基板101、STI 150和盖层125的上表面可以在相同的面上。
参考图17H,在栅极结构120被完全形成之后,用于读晶体管T1的栅极结构130的材料层图案130p形成在半导体基板101的上表面上。材料层图案130p可以包括例如栅极电极131、栅极绝缘层133和盖层135。材料层图案130p可以例如通过在半导体基板101上顺序地形成对应的材料层以及通过使用光刻工艺图案化该材料层而形成。栅极绝缘层133和栅极电极131可以使用参考图1B、8和9描述的材料形成。例如,栅极绝缘层133可以使用氧化物层或氮化物层诸如硅氧化物层或硅氮化物层形成,或可以包括高k材料层。此外,栅极电极131可以包括多晶硅或金属。
在材料层图案130p形成之后,杂质离子可以使用材料层图案130p作为掩模被注入在半导体基板101的上部分上以形成LDD区103l。作为参考,LDD区103l可以形成在半导体基板101的上部分上并在STI 150与栅极结构120之间。在一个实施方式中,暴露的部分可以是窄的,或者半导体基板101的上部分可以不暴露。
参考图17I,在形成LDD区103l之后,间隔物137可以形成在材料层图案130p的不同侧表面处以完全形成读晶体管T1的栅极结构130。在栅极结构130被完全形成之后,杂质离子可以使用栅极结构130作为掩模以高浓度被注入在半导体基板101的上部分上,从而形成源极/漏极区103a。
接着,杂质离子可以被深地注入在布置在编程晶体管T0和读晶体管T1之间的源极/漏极区中以形成源极/漏极区103b,如图1B所示。
图18A至18D示出制造图10的反熔丝器件100k的方法的一实施方式。参考图18A,首先,编程晶体管T0的栅极结构120使用参考图17A至17G描述的工艺形成。然后,虚设栅极结构130dm形成于在其上将形成读晶体管T1的区域上。LDD区103l使用虚设栅极结构130dm形成。虚设栅极结构130dm可以包括例如虚设栅极电极131dm和虚设栅极绝缘层133dm。虚设栅极电极131dm可以包括例如多晶硅。虚设栅极绝缘层133dm可以包括氧化物层或氮化物层。在其它实施方式中,虚设栅极电极131dm和虚设栅极绝缘层133dm可以由不同的材料制成。
在形成LDD区103l之后,间隔物137形成,并且源极/漏极区103a和103b使用虚设栅极结构130dm和间隔物137形成。间隔物137可以包括氮化物层或氮氧化物层诸如硅氮化物层或硅氮氧化物层。用于形成源极/漏极区103a和103b的方法可以与图17I中描述的相同。
参考图18B,在形成源极/漏极区103a和103b之后,覆盖虚设栅极结构130dm和间隔物137的绝缘层形成。层间绝缘层140通过以蚀刻来平坦化该绝缘层而形成。该绝缘层的平坦化可以例如使用CMP执行。虚设栅极结构130dm的上表面可以经由绝缘层的平坦化暴露。层间绝缘层140可以包括硅氧化物层、硅氮化物层、硅氮氧化物层或其组合的至少之一,并且可以使用具有与间隔物137不同的蚀刻选择性的材料形成。
参考图18C,在形成层间绝缘层140之后,虚设栅极结构130dm被去除。通过去除虚设栅极结构130dm,半导体基板101的上表面Sf可以经由间隔物137暴露。间隔物137和层间绝缘层140可以具有相对于虚设栅极结构130dm的蚀刻选择性。因此,虚设栅极结构130dm可以例如经由湿蚀刻被容易地去除。此外,虚设栅极结构130dm的去除可以被顺序地执行使得虚设栅极电极131dm被首先去除并且然后虚设栅极绝缘层133dm被去除。
参考图18D,在去除虚设栅极结构130dm之后,绝缘层133l、下金属层131-1l和上金属层131-2l顺序地共形地形成在所得的半导体基板101上。绝缘层133l、下金属层131-1l和上金属层131-2l的材料的示例是如参考图10描述的。然后,绝缘层133l、下金属层131-1l和上金属层131-2l通过蚀刻去除以暴露层间绝缘层140的上表面。绝缘层133l、下金属层131-1l和上金属层131-2l可以例如通过CMP被蚀刻。通过以CMP去除绝缘层133l、下金属层131-1l和上金属层131-2l,图10的反熔丝器件100k的读晶体管T1可以被完全形成。
在此已经公开了示例实施方式,虽然采用了专用术语,但是它们仅以一般性和描述性意义被使用和解释而不用于限制。在一些情况下,如在提交本申请时起对于本领域的普通技术人员来说是显然的,关于特定实施方式描述的特征、特性和/或元件可以单独地使用,或者可以与关于其它实施方式描述的特征、特性和/或元件一起使用,除非另外地特别指出。因此,可以在形式和细节上进行各种改变,而不脱离如由权利要求书中阐述的实施方式的精神和范围。
在2016年12月9日提交的发明名称为“Anti-Fuse Device and Memory DeviceIncluding the Same(反熔丝器件和包括该反熔丝器件的存储器件)”的韩国专利申请第10-2016-0168006号通过引用被整体合并于此。

Claims (25)

1.一种反熔丝器件,包括:
编程晶体管,用于经由栅极绝缘层的绝缘击穿执行编程;以及
读晶体管,邻近所述编程晶体管,用于读取所述编程晶体管的状态,其中所述编程晶体管的第一栅极电极或所述读晶体管的第二栅极电极的至少之一被掩埋在基板中。
2.如权利要求1所述的反熔丝器件,其中:
所述编程晶体管具有其中所述第一栅极电极具有被掩埋的结构的掩埋晶体管结构,以及
所述读晶体管具有其中所述第二栅极电极在所述基板的上表面上的平面晶体管结构。
3.如权利要求2所述的反熔丝器件,其中所述编程晶体管具有:
其中所述第一栅极电极被完全掩埋在所述基板中的掩埋单元阵列晶体管(BCAT)结构,
其中所述第一栅极电极的一部分被掩埋在所述基板中的凹陷沟道阵列晶体管(RCAT)结构。
4.如权利要求2所述的反熔丝器件,其中所述编程晶体管包括:
其中所述第一栅极电极和盖绝缘层在所述第一栅极电极上的第一栅极结构,以及
第一杂质区,在布置所述读晶体管的方向上布置在所述基板的上部分上。
5.如权利要求4所述的反熔丝器件,其中浅沟槽隔离(STI)在所述基板中并且在与布置所述读晶体管的方向相反的方向上与所述第一栅极结构间隔开预定距离。
6.如权利要求4所述的反熔丝器件,其中浅沟槽隔离(STI)在所述基板中并且在与布置所述读晶体管的方向相反的方向上接触或交叠所述第一栅极结构。
7.如权利要求4所述的反熔丝器件,其中所述读晶体管包括包含所述第二栅极电极的第二栅极结构、与所述编程晶体管共用的所述第一杂质区、以及布置在与布置所述第一杂质区的方向相反的方向上的第二杂质区。
8.如权利要求1所述的反熔丝器件,其中:
所述读晶体管具有其中所述第二栅极电极在所述基板的上表面上的平面晶体管结构,以及
所述读晶体管包括包含具有高k材料的栅极绝缘层和具有金属材料的第二栅极电极的栅极结构。
9.如权利要求1所述的反熔丝器件,其中所述第一栅极电极和所述第二栅极电极均具有掩埋结构。
10.如权利要求1所述的反熔丝器件,其中:
多个单元电池布置成二维(2D)阵列结构,以及
所述多个单位单元的每个包括一个编程晶体管和一个读晶体管。
11.一种包含反熔丝器件的半导体器件,包含:
包括第一栅极结构和第一杂质区的编程晶体管,所述第一栅极结构包括被掩埋在基板中的掩埋栅极电极,其中栅极绝缘层在所述掩埋栅极电极和所述基板之间,所述第一杂质区布置在所述基板的邻近所述第一栅极结构的上部分上,所述编程晶体管用于经由所述栅极绝缘层的绝缘击穿执行编程;以及
邻近所述编程晶体管的读晶体管,所述读晶体管包括第二栅极结构、所述第一杂质区和第二杂质区,所述第二栅极结构包括在所述基板的上表面上的平面栅极电极,其中栅极绝缘层在所述平面栅极电极与所述基板的所述上表面之间,所述第一杂质区在所述第二栅极结构的侧表面上,所述第二杂质区在所述基板的上部分上,在所述第二栅极结构的另一侧表面上,所述读晶体管用于读取所述编程晶体管的状态。
12.根据权利要求11所述的半导体器件,其中:
浅沟槽隔离(STI)在与布置所述读晶体管的方向相反的方向上与所述第一栅极结构相邻,以及
所述浅沟槽隔离接触所述第一栅极结构的侧表面或在交叉的方向上交叠所述第一栅极结构的一部分。
13.如权利要求11所述的半导体器件,其中:
所述第一栅极结构和所述第二栅极结构在第一方向上延伸,以及
在交叉所述第一方向的第二方向上,所述第一栅极结构的宽度小于所述第二栅极结构的宽度。
14.如权利要求11所述的半导体器件,其中所述第一杂质区具有与所述第二杂质区基本上相同的深度或比所述第二杂质区大的深度。
15.如权利要求11所述的半导体器件,其中:
所述第一杂质区具有比所述第二杂质区大的深度,以及
所述第一杂质区的下表面低于所述掩埋栅极电极的上表面。
16.如权利要求11所述的半导体器件,其中:
所述第二栅极结构的所述栅极绝缘层包括高k材料,以及
所述平面栅极电极包括金属材料。
17.一种存储器件,包括:
反熔丝器件,包括布置成二维(2D)阵列结构的多个反熔丝单位单元;
修复电路,用于在修复操作中基于接收到的地址施加编程电压到所述反熔丝器件中的对应的反熔丝单位单元;以及
包括正常存储单元块和备用存储单元块的存储单元块,所述备用存储单元块中的备用存储单元而不是所述正常存储单元块中的故障存储单元将由于储存在所述反熔丝器件中的冗余信息而被访问,
其中所述多个反熔丝单位单元的每个包括:
编程晶体管,用于基于栅极绝缘层的绝缘击穿而执行编程,其中所述栅极绝缘层的所述绝缘击穿是基于被施加到所述编程晶体管的所述编程电压,以及
读晶体管,邻近所述编程晶体管,用于读取所述编程晶体管的状态,其中所述编程晶体管的第一栅极电极或所述读晶体管的第二栅极电极的至少之一被掩埋在基板中。
18.如权利要求17所述的存储器件,其中:
所述编程晶体管具有其中所述第一栅极电极被掩埋的掩埋晶体管结构,以及
所述读晶体管具有其中所述第二栅极电极形成在所述基板的上表面上的平面晶体管结构。
19.如权利要求18所述的存储器件,其中所述编程晶体管包括:
第一栅极结构,包括所述第一栅极电极和第一杂质区,所述第一杂质区在布置所述读晶体管的方向上布置在所述基板的上部分上,
浅沟槽隔离,在与布置所述读晶体管的方向相反的方向上与所述第一栅极电极相邻,以及
所述浅沟槽隔离接触所述第一栅极结构的侧表面或在交叉的方向上交叠所述第一栅极结构的一部分。
20.如权利要求17所述的存储器件,其中:
所述读晶体管具有其中所述第二栅极电极在所述基板的上表面上的平面晶体管结构,以及
所述读晶体管包括包含具有高k材料的栅极绝缘层和具有金属材料的第二栅极电极的栅极结构。
21.一种反熔丝器件,包括:
在第一区域中的第一晶体管;以及
在第二区域中的第二晶体管,其中所述第一晶体管具有第一结构并且所述第二晶体管具有不同于所述第一结构的第二结构,并且其中所述第一结构是被掩埋的单元阵列晶体管结构或凹陷沟道阵列晶体管结构。
22.如权利要求21所述的反熔丝器件,其中:
所述第一区域是字线编程区,以及
所述第二区域是字线读取区。
23.如权利要求21所述的反熔丝器件,其中所述第二结构是平面晶体管结构。
24.如权利要求21所述的反熔丝器件,其中所述第二晶体管具有包括高k金属栅极材料的栅极电极。
25.如权利要求21所述的反熔丝器件,其中:
所述第一晶体管用于基于栅极绝缘层的绝缘击穿执行编程,以及
所述第二晶体管用于读取所述第一晶体管的状态。
CN201711283435.1A 2016-12-09 2017-12-07 反熔丝器件和包括该反熔丝器件的存储器件 Active CN108231777B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160168006A KR20180066785A (ko) 2016-12-09 2016-12-09 안티-퓨즈 소자 및 그 안티-퓨즈 소자를 포함한 메모리 소자
KR10-2016-0168006 2016-12-09

Publications (2)

Publication Number Publication Date
CN108231777A true CN108231777A (zh) 2018-06-29
CN108231777B CN108231777B (zh) 2023-10-31

Family

ID=62489622

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711283435.1A Active CN108231777B (zh) 2016-12-09 2017-12-07 反熔丝器件和包括该反熔丝器件的存储器件

Country Status (3)

Country Link
US (2) US10236249B2 (zh)
KR (1) KR20180066785A (zh)
CN (1) CN108231777B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110875321A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法
CN111384023A (zh) * 2018-12-28 2020-07-07 爱思开海力士有限公司 包括反熔丝的半导体器件及其制造方法
TWI701805B (zh) * 2018-12-06 2020-08-11 南亞科技股份有限公司 半導體裝置
CN112397544A (zh) * 2020-11-12 2021-02-23 长江先进存储产业创新中心有限责任公司 一种三维相变存储器及其控制方法
CN113496987A (zh) * 2020-04-08 2021-10-12 长鑫存储技术有限公司 反熔丝器件及反熔丝单元
TWI770804B (zh) * 2021-02-04 2022-07-11 華邦電子股份有限公司 記憶體裝置及其製造方法
TWI771046B (zh) * 2020-08-10 2022-07-11 南亞科技股份有限公司 半導體元件的製備方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180066785A (ko) * 2016-12-09 2018-06-19 삼성전자주식회사 안티-퓨즈 소자 및 그 안티-퓨즈 소자를 포함한 메모리 소자
US11276697B2 (en) * 2018-04-02 2022-03-15 Intel Corporation Floating body metal-oxide-semiconductor field-effect-transistors (MOSFET) as antifuse elements
US10672795B2 (en) * 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior
FR3085530B1 (fr) * 2018-08-31 2020-10-02 St Microelectronics Rousset Circuit integre comportant au moins une cellule memoire avec un dispositif anti-fusible.
KR102396978B1 (ko) 2018-11-16 2022-05-11 삼성전자주식회사 반도체 장치
US11177213B2 (en) 2020-01-28 2021-11-16 International Business Machines Corporation Embedded small via anti-fuse device
US11437386B2 (en) 2020-02-10 2022-09-06 Taiwan Semiconductor Manufacturing Company Limited System and method for reducing cell area and current leakage in anti-fuse cell array
US11183502B1 (en) * 2020-08-20 2021-11-23 Nanya Technology Corporation Memory cell and Method for reading out data therefrom
WO2023287955A1 (en) * 2021-07-15 2023-01-19 Microchip Technology Incorporated Anti-fuse device with a cup-shaped insulator
US20230130975A1 (en) * 2021-10-22 2023-04-27 Nanya Technology Corporation Semiconductor device with fuse component
US11843030B2 (en) * 2022-03-10 2023-12-12 Nanya Technology Corporation Fuse elements and semiconductor devices
US11876044B2 (en) 2022-03-10 2024-01-16 Nanya Technology Corporation Method for activating backup unit through fuse element

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046354A1 (en) * 2004-09-02 2006-03-02 Dwayne Kreipl Recessed gate dielectric antifuse
US20090250726A1 (en) * 2008-04-04 2009-10-08 Sidense Corp. Low vt antifuse device
US20140027844A1 (en) * 2012-07-30 2014-01-30 SK Hynix Inc. Semiconductor device and method of fabricating the same
US20150146471A1 (en) * 2013-11-26 2015-05-28 SK Hynix Inc. Anti-fuse array of semiconductor device and method for operating the same
US20150236154A1 (en) * 2014-02-17 2015-08-20 SK Hynix Inc. Anti-fuse and method for forming the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6570207B2 (en) 2000-12-13 2003-05-27 International Business Machines Corporation Structure and method for creating vertical capacitor and anti-fuse in DRAM process employing vertical array device cell complex
KR100615096B1 (ko) * 2004-11-15 2006-08-22 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 제조방법
US7528065B2 (en) * 2006-01-17 2009-05-05 International Business Machines Corporation Structure and method for MOSFET gate electrode landing pad
JP2008300623A (ja) 2007-05-31 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法、並びに、データ処理システム
DE102008047591B4 (de) * 2007-09-18 2019-08-14 Samsung Electronics Co., Ltd. Verfahren zum Herstellen einer Halbleitervorrichtung mit reduzierter Dicke
JP2012038964A (ja) 2010-08-09 2012-02-23 Elpida Memory Inc 半導体装置およびその製造方法
KR20130044655A (ko) 2011-10-24 2013-05-03 에스케이하이닉스 주식회사 반도체 소자 및 제조 방법
KR102086466B1 (ko) * 2013-07-26 2020-03-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US8883624B1 (en) 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
KR20150087540A (ko) * 2014-01-22 2015-07-30 에스케이하이닉스 주식회사 안티 퓨즈 어레이 구조
KR102178535B1 (ko) * 2014-02-19 2020-11-13 삼성전자주식회사 반도체 소자의 제조 방법
KR20180066785A (ko) * 2016-12-09 2018-06-19 삼성전자주식회사 안티-퓨즈 소자 및 그 안티-퓨즈 소자를 포함한 메모리 소자

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046354A1 (en) * 2004-09-02 2006-03-02 Dwayne Kreipl Recessed gate dielectric antifuse
US20090250726A1 (en) * 2008-04-04 2009-10-08 Sidense Corp. Low vt antifuse device
US20140027844A1 (en) * 2012-07-30 2014-01-30 SK Hynix Inc. Semiconductor device and method of fabricating the same
US20150146471A1 (en) * 2013-11-26 2015-05-28 SK Hynix Inc. Anti-fuse array of semiconductor device and method for operating the same
US20150236154A1 (en) * 2014-02-17 2015-08-20 SK Hynix Inc. Anti-fuse and method for forming the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110875321A (zh) * 2018-08-30 2020-03-10 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法
CN110875321B (zh) * 2018-08-30 2023-12-12 台湾积体电路制造股份有限公司 集成电路器件、电路和操作电路的方法
TWI701805B (zh) * 2018-12-06 2020-08-11 南亞科技股份有限公司 半導體裝置
CN111384023A (zh) * 2018-12-28 2020-07-07 爱思开海力士有限公司 包括反熔丝的半导体器件及其制造方法
CN111384023B (zh) * 2018-12-28 2024-03-26 爱思开海力士有限公司 包括反熔丝的半导体器件及其制造方法
CN113496987A (zh) * 2020-04-08 2021-10-12 长鑫存储技术有限公司 反熔丝器件及反熔丝单元
CN113496987B (zh) * 2020-04-08 2024-03-29 长鑫存储技术有限公司 反熔丝器件及反熔丝单元
TWI771046B (zh) * 2020-08-10 2022-07-11 南亞科技股份有限公司 半導體元件的製備方法
CN112397544A (zh) * 2020-11-12 2021-02-23 长江先进存储产业创新中心有限责任公司 一种三维相变存储器及其控制方法
CN112397544B (zh) * 2020-11-12 2021-09-14 长江先进存储产业创新中心有限责任公司 一种三维相变存储器及其控制方法
TWI770804B (zh) * 2021-02-04 2022-07-11 華邦電子股份有限公司 記憶體裝置及其製造方法

Also Published As

Publication number Publication date
US10236249B2 (en) 2019-03-19
US10615118B2 (en) 2020-04-07
US20190189559A1 (en) 2019-06-20
US20180166382A1 (en) 2018-06-14
KR20180066785A (ko) 2018-06-19
CN108231777B (zh) 2023-10-31

Similar Documents

Publication Publication Date Title
CN108231777A (zh) 反熔丝器件和包括该反熔丝器件的存储器件
CN110168731B (zh) 三维存储器件的存储单元结构
TWI452678B (zh) 半導體記憶裝置
CN105742288B (zh) 与闪速存储器集成的梳形电容器
JP2011527515A (ja) マイクロ電子3dnandフラッシュメモリデバイスの構造および製造プロセス
CN110036480A (zh) 用于改善3d nand的页面或块尺寸和性能的沟道孔和位线架构及方法
US9728449B2 (en) Semiconductor device structures with improved planarization uniformity, and related methods
CN111276488A (zh) 非易失性存储器件
US9059279B2 (en) Semiconductor device and method for forming the same
US20240147714A1 (en) Flash memory structure with enhanced floating gate
CN101621035A (zh) 具有otp功能的非晶硅monos或mas存储单元结构
CN1828900B (zh) 含具有垂直栅电极的晶体管的半导体器件及其制造方法
KR20140122041A (ko) 3차원 저항 변화 메모리 장치 및 그 제조방법
US20230238462A1 (en) Dual-layer channel transistor and methods of forming same
JP2014056898A (ja) 不揮発性記憶装置
US11244950B1 (en) Method for preparing a memory device
CN114868248A (zh) 具有分离的源极侧线的三维存储器器件及其制造方法
KR100717279B1 (ko) 마스크롬 소자 및 그 형성 방법
US11189357B1 (en) Programmable memory device
WO2023245728A1 (zh) 半导体结构及其制造方法、存储器及其操作方法
US20240057331A1 (en) Three-dimensional memory device including trench bridges and methods of forming the same
US20240057332A1 (en) Three-dimensional memory device including trench bridges and methods of forming the same
US11594541B2 (en) One-time programmable memory array and manufacturing method thereof
WO2024035487A1 (en) Three-dimensional memory device including trench bridges and methods of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant