CN108231587A - 鳍式场效晶体管的共形传递掺杂方法 - Google Patents

鳍式场效晶体管的共形传递掺杂方法 Download PDF

Info

Publication number
CN108231587A
CN108231587A CN201711008269.4A CN201711008269A CN108231587A CN 108231587 A CN108231587 A CN 108231587A CN 201711008269 A CN201711008269 A CN 201711008269A CN 108231587 A CN108231587 A CN 108231587A
Authority
CN
China
Prior art keywords
fin
layer
doping
processing procedure
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711008269.4A
Other languages
English (en)
Other versions
CN108231587B (zh
Inventor
杨世海
王圣祯
赖柏宇
方子韦
杨丰诚
陈燕铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108231587A publication Critical patent/CN108231587A/zh
Application granted granted Critical
Publication of CN108231587B publication Critical patent/CN108231587B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26526Recoil-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

于此所揭示的是鳍式场效晶体管(FinFETs)的共形传递掺杂方法。一个例示性方法,包括形成鳍片结构、形成掺杂非晶层于鳍片结构的一部分的上方、以及执行撞击式布植制程,以将掺杂物从掺杂非晶层驱入鳍片结构的一部分内,从而形成掺杂特征。掺杂非晶层包括材料的非晶态形式。在一些实施方式中,撞击式布植制程结晶化掺杂非晶层的至少一部分,使得掺杂非晶层的一部分成为鳍片结构的一部分。在一些实施方式中,掺杂非晶层包括非晶硅,而撞击式布植制程结晶化掺杂非晶硅层的一部分。

Description

鳍式场效晶体管的共形传递掺杂方法
技术领域
本揭示是关于一种鳍式场效晶体管的掺杂方法,特别是关于一种鳍式场效晶体管的共形传递掺杂方法。
背景技术
集成电路(Integrated Circuit,IC)行业已历经指数性的成长。集成电路的材料和设计的技术进步已经产生了数个集成电路世代,其中每一世代具有比上世代更小和更复杂的电路。在集成电路的演进过程中,通常增加了功能密度(意即每个晶片面积的互连装置的数量),而且减小了几何尺寸(意即使用制造方法可以创建的最小部件(或线))。这种缩减方法普遍通过提高生产效率和降低相关成本来提供效益。
这种缩减也增加了集成电路在处理和制造的复杂性,并且为了实现这些进步,在集成电路处理和制造中需要有相似的发展。举例而言,当鳍式场效晶体管(fin-like fieldeffect transistor,FinFET)技术往较小的特征尺寸(例如32纳米、28纳米、20纳米及以下)推进,需要先进的掺杂技术,在不损伤鳍片结构的情况下,在鳍片结构中提供具有更深、更均匀的掺杂分布的掺杂特征(区域)。虽然现有的鳍式场效晶体管的掺杂技术一般上对其所欲目的而言是足够的,但在所有方面上并不完全令人满意。
发明内容
本揭示提供了一种鳍式场效晶体管的掺杂方法,包括形成鳍片结构、形成掺杂非晶层于鳍片结构的一部分的上方、执行撞击式布植制程,以将掺杂物从掺杂非晶层驱入鳍片结构的一部分内,从而形成掺杂特征。
附图说明
当结合附图阅读时,自以下详细描述可以最佳地理解本揭示的态样。所强调的是,根据工业中标准实务,各特征未按比例绘制,并仅是用以说明目的。事实上,为论述的清楚性,各特征的尺寸可任意地增加或缩减。
图1是根据本揭示的各个态样,制造鳍式场效晶体管(FinFET)装置的方法的流程图;
图2A至图2E是根据本揭示的各个态样,于部分或全部,在各个制造阶段中,例如与图1的方法相关的,鳍式场效晶体管装置的局部横截面图;
图3A至图3E是根据本揭示的各个态样,于部分或全部,在各个制造阶段中,例如与图1的方法相关的,另一个鳍式场效晶体管装置的局部截面图;
图4是根据本揭示的各个态样,于部分或全部,在各个制造阶段中,例如与图1、图2A至图2E相关的,另一个鳍式场效晶体管装置的透视三维视图;
图5是根据本揭示的各个态样,于部分或全部,在各个制造阶段中,例如与图1、图3A至图3E相关的,另一个鳍式场效晶体管装置的透视三维视图。
具体实施方式
本揭示一般上是关于集成电路装置,更特定的是关于鳍式场效晶体管(FinFET)装置。
以下揭示提供许多不同实施方式或实施例,用于实现本揭示的不同特征。以下叙述部件与布置的特定实施例,以简化本揭示。这些当然仅为实施例,并且不是意欲作为限制。举例而言,在随后的叙述中,第一特征在第二特征上方或在第二特征上的形成,可包括第一特征及第二特征形成为直接接触的实施方式,亦可包括有另一特征可形成在第一特征及第二特征之间,以使得第一特征及第二特征可不直接接触的实施方式。
另外,本揭示在各实施例中可重复元件符号及/或字母。此重复是为了简化及清楚的目的,且本身不指示所论述各实施方式及/或配置之间的关系。此外,在后续的本揭示中,一个特征形成于另一特征上、连接至及/或耦合至另一特征,可包括这些特征直接接触的实施方式,亦可包括有另一特征可形成并中介于这些特征之间,使得这些特征可不直接接触的实施方式。此外,空间相对用语是用以便于本揭示的特征与另一特征的关系,例如“下(lower)”、“上(upper)”、“水平(horizontal)”、“垂直(vertical)”、“之上(above)”、“之下(below)”、“下面(beneath)”、“向上(up)”、“向下(down)”、“顶(top)”、“底(bottom)”和其衍生用语(例如“水平地(horizontally)”、“向下地(downwardly)”、“向上地(upwardly)”等)。这些空间相对用语意欲包含包括这些特征的装置的不同位向。
图1是根据本揭示的多个态样,用于制造集成电路装置的方法100的流程图。在一些实施方式中,如在此处所叙述的,方法100制造包括鳍式场效晶体管装置的集成电路装置。在方块110处,方法100包括形成鳍片结构,举例而言,形成于基板上方。鳍片结构包括结晶材料(换言之,具有规则原子结构的材料),例如排列于结晶结构中的硅。在一些实施方式中,鳍片结构包括设置于源极区与漏极区之间的通道区。
在方块120处,掺杂非晶层形成于鳍片结构上方。掺杂非晶层包括具有非结晶结构的材料(换言之,具有不规则原子结构的材料)。在一些实施方式中,掺杂非晶层包括与鳍片结构相同的材料,除了具有非结晶结构的掺杂非晶层的材料。举例而言,鳍片结构包括结晶结构中的硅,而掺杂非晶层包括非结晶结构中的硅,例如非晶硅。在一些实施方式中,掺杂非晶层与鳍片结构包括不同的材料。举例而言,鳍片结构包括结晶结构中的硅锗,并且掺杂非晶层包括非结晶结构中的硅,例如非晶硅。在一些实施方式中,相较于鳍片结构而言,掺杂非晶层具有较高的掺杂物浓度。在鳍片结构与掺杂非晶层包括相同材料,但原子结构不同的实施方式中,相较于鳍片结构的材料,掺杂非晶层的材料具有较高的掺杂物浓度。在一些实施方式中,鳍片结构的材料是没有掺杂的。在一些实施方式中,掺杂非晶层形成于鳍片结构的源极区与漏极区的上方。在这些实施方式中,在形成掺杂非晶层之前,栅极结构可形成于鳍片结构的通道区的上方。
在方块130处,执行撞击式布植制程,以将掺杂物从掺杂非晶层驱入鳍片结构的一部分内,从而形成掺杂特征。在一些实施方式中,掺杂特征是设置于鳍片结构的源极区及/或漏极区内的轻度掺杂的源极特征及/或漏极特征(或区域)。在一些实施方式中,掺杂特征(或区域)是鳍式场效晶体管装置的掺杂阱,例如用以定义鳍式场效晶体管装置的主动区域的掺杂阱(在这些实施方式中,在撞击式布植制程之前,鳍片结构可以是无掺杂的)。撞击式布植制程使得掺杂非晶层的至少一部分成为鳍片结构的一部分。特定而言,撞击式布植制程可将掺杂非晶层的非结晶材料的至少一部分转化成为结晶材料。因此,撞击式布植制程可规则化掺杂非晶层的一部分的原子结构。在鳍片结构包括硅,并且掺杂非晶层包括非晶硅的实施方式中,撞击式布植制程结晶化非晶硅的至少一部分,从而形成鳍片结构的掺杂硅特征(区域),包括掺杂非晶层的一转化(或结晶化)部分,以及鳍片结构的一掺杂部分。在鳍片结构包括硅锗,并且掺杂非晶层包括非晶硅的实施方式中,撞击式布植制程结晶化非晶硅的至少一部分,从而形成鳍片结构的掺杂特征(区域),包括掺杂非晶层的一转化(或结晶化)部分(举例而言,在一些实施方式中,硅覆盖层),以及鳍片结构的一掺杂部分。
在掺杂非晶层的一部分成为鳍片结构的一部分的实施方式中,可续行方法100,伴随移除掺杂非晶层的残留部分。在这些实施方式中,移除掺杂非晶层的残留部分可包括氧化掺杂非晶层的残留部分,并执行湿蚀刻制程及/或清洗制程,以移除氧化的残留部分。在一些实施方式中,在形成掺杂非晶层之前,执行修整制程,以缩减鳍片结构的尺寸(例如宽度)。在这些实施方式中,撞击式布植制程将全部的掺杂非晶层转化成为鳍片结构的一部分(换言之,成为结晶材料),使得没有残留的掺杂非晶层的部分。这些实施方式消除了任何后续湿蚀刻制程及/或后续清洗制程的需要。在一些实施方式中,掺杂非晶层的厚度相等于在修整制程中所移除的鳍片结构的厚度(或宽度)。
在方块140处,可续行方法100,以完成鳍式场效晶体管装置的制造。举例而言,在栅极结构包括虚拟栅极的实施方式中,执行栅极替换制程,以使用金属栅极取代虚拟栅极。在掺杂特征定义鳍式场效晶体管装置的主动区域的实施方式中,可续行方法100,伴随形成其他的IC特征,以制造功能性的鳍式场效晶体管装置。在方法100执行前、执行中与执行后,可提供额外的步骤,并且可移动、取代或消除方法100中的额外实施方式所叙述的一些步骤。接下来的论述说明了可根据方法100所制造的鳍式场效晶体管装置的各种实施方式。
图2A至图2E是根据本揭示的多个态样,于部分或全部,在各个制造阶段中,鳍式场效晶体管装置200的局部横截面图(例如与方法100相关者)。鳍式场效晶体管装置200可以是包括位于微处理器、记忆体及/或其他集成电路装置内。在一些实施方式中,鳍式场效晶体管装置200可以是集成电路晶片、系统上晶片(system on chip,SoC)或其部分的一部分,其包括各种被动与主动微电子装置,例如电阻器、电容器、电感器、二极管、金属氧化物半导体场效晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补式金属氧化物半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极性接面晶体管(bipolar junction transistors,BJTs)、横向扩散金属氧化物半导体(laterally diffused MOS,LDMOS)晶体管、高电压晶体管、高频晶体管、其他合适的部件或其组合。为了清楚起见,图2A至图2E已经受到简化,以更好地理解本揭示的发明概念。可在鳍式场效晶体管装置200内加入额外的特征,并且在鳍式场效晶体管装置200的其他实施方式中,以下所叙述的一些特征可以被取代、修饰或消除。
在图2A中,鳍式场效晶体管装置200包括基板(晶圆)210。基板210包括结晶材料,其一般所指的是具有规则原子结构的材料(常被称为结晶结构)。举例而言,在所绘示的实施方式中,基板210包括结晶结构中的硅。另外地或额外地,基板210包括另一元素半导体、化合物半导体、合金半导体或其组合;元素半导体的例子如锗,化合物半导体的例子如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟,合金半导体的例子如硅锗(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。另外地,基板210是绝缘体上半导体基板,例如绝缘体上硅(silicon-on-insulator,SOI)基板、绝缘体上硅锗(silicon germanium-on-insulator,SGOI)基板、或绝缘体上锗绝缘体(germanium-on-insulator,GOI)基板。可使用注氧隔离布植(separation by implantation of oxygen,SIMOX)、晶圆键结及/或其他合适的方法来制造绝缘体上半导体。根据鳍式场效晶体管装置200的设计要求,基板210可包括各种掺杂区域(未显示)。在一些实施方式中,基板210包括以p型掺杂物所掺杂的p型掺杂区域(举例而言,p型阱),例如硼、铟、其他的p型掺杂物或其组合。在一些实施方式中,基板210包括以n型掺杂物所掺杂的n型掺杂区域(举例而言,n型阱),例如磷、砷、其他n型掺杂物或其组合。在一些实施方式中,基板210包括形成具有p型掺杂物与n型掺杂物的组合的掺杂区域。各种掺杂区域可直接形成于基板210上或基板210内部,举例而言,提供p型阱结构、n型阱结构、双阱结构、凸起的结构或其组合。可执行离子布植制程、扩散制程及/或其他合适的掺杂制程,以在基板210内形成各种掺杂区域。
使用任何合适的制程,形成鳍片结构220于基板210的上方。在图2A中,鳍片结构220包括从基板210延伸的复数个鳍片222,然而本揭示亦考量了包括单个鳍片222从基板210延伸的鳍片结构220的实施方式。在一些实施方式中,鳍片结构220是基板210的一部分(例如基板210的材料层的一部分)。举例而言,在所绘示的实施方式中,当中基板210包括结晶材料,鳍片结构220包括相同的结晶材料,例如结晶结构中的硅。另外地,在一些实施方式中,鳍片结构220定义于位在基板210之上的材料层中,材料层包含结晶结构内的半导体材料,例如结晶结构中的硅锗。在一些实施方式中,鳍片结构220可包括具有各种半导体层的半导体层堆叠(举例而言,异质结构)。半导体层包括结晶结构内的任何合适的材料,例如硅、锗、硅锗、其他合适的材料或其组合。根据鳍式场效晶体管装置200的设计要求,半导体层可以包括相同或不同的材料、蚀刻速率、原子组成百分比、组成重量百分比、厚度及/或组态。
每一个鳍片222具有由一对侧壁所定义的高度h、宽度w1及长度l(未显示于所绘示的视图中)。相邻的鳍片222被间隙S1所分隔,而鳍片222的间距P一般所指的是特定鳍片222的宽度(例如w1)与相邻于此特定鳍片222的间隙的宽度(例如S1)的总和(换言之,P=w1+S1)。在一些实施方式中,间距P是指对于所给定的技术节点,由微影制程在鳍片222之间所能得到的最小间距。在一些实施方式中,高度h为约30nm至约80nm,并且宽度w1为约1nm至约30nm。举例而言,在所绘示的实施方式中,高度h为约30nm至约80nm,并且宽度w1为约2nm至约20nm。在一些实施方式中,间隙S1为约10nm至约30nm。在一些实施方式中,间距P为约10nm至约50nm。本揭示亦考量源自鳍式场效晶体管装置200的处理与制造,从而所造成的鳍片222的高度h、宽度w1与长度l的变化。举例而言,虽然所绘示的鳍片222具有相同的高度h与宽度w1,然而在一些实施方式中,宽度w1代表的是所给定鳍片222的平均宽度。在一些实施方式中,从鳍片222的上部至鳍片222的下部,鳍片222的宽度是不相同的,而宽度w1代表这些不同宽度的平均值。在一些实施方式中,从鳍片222的上部至鳍片222的下部,宽度是逐渐变细的,使得上部的平均宽度是大于下部的平均宽度。在一些实施方式中,根据沿鳍片222的高度h的所量测的宽度w1,宽度w1可沿鳍片222,从约5nm变化至约15nm。在一些实施方式中,鳍片222的宽度w1沿着鳍片222的位置而变化,此位置相对于另一个鳍式场效晶体管装置200及/或其他特征。举例而言,中心鳍片222的宽度w1(在所绘示的实施方式中,鳍式场效晶体管装置200包括两个中心鳍片222)可大于边缘鳍片222的宽度w1(在此为最左边的鳍片222与最右边的的鳍片222,其封围了这两个中心鳍片222)。在另一实施例中,另外地,中心鳍片222的宽度w1是小于边缘鳍片222的宽度w1。在这两个实施方式中,边缘鳍片222的宽度w1可代表边缘鳍片222的平均宽度,并且中心鳍片222的宽度w1可代表中心鳍片222的平均宽度。
执行沉积、微影及/或蚀刻制程的一组合,以定义从基板210延伸的鳍片222,如图2A中所示。举例而言,形成鳍片结构220,包括执行微影制程,以形成图案化光阻层于基板210上方(或设置于基板210a的材料层上方),并执行蚀刻制程,以转移图案化光阻层中所定义的图案至基板210(或设置于基板210a的材料层上方)。微影制程可包括形成光阻层于基板210上(举例而言,通过旋转涂布),执行预曝光烘烤制程,使用光罩执行曝光制程,执行后曝光烘烤制程,以及执行显影制程。在曝光制程时,光阻层曝光于辐射能量(例如紫外光(UV)、深紫外光(deep UV,DUV)或极紫外光(extreme UV,EUV)),根据光罩的光罩图案或光罩类型(举例而言,二元光罩(binary mask)、相位移光罩(phase shift mask)或极紫外光(EUV)光罩),光罩将阻挡、传递及/或发射辐射至光阻层,使得相对应于光罩图案的图像投影于光阻层上。由于光阻层对辐射能量是敏感的,根据光阻层的性质与显影制程中所使用的显影溶液的性质,光阻层的曝光部分化学性改变,以及光阻层的曝光部分(或非曝光部分)在显影制程时溶解。在显影后,图案化光阻层包括相对应于光罩的光阻图案。蚀刻制程移除部分的基板210,其中蚀刻制程利用图案化光阻层作为蚀刻光罩。蚀刻制程可以包括干蚀刻制程、湿蚀刻制程、其他合适的蚀刻制程或其组合。在一些实施方式中,执行反应性离子蚀刻(Reactive Ion Etching,RIE)制程。在蚀刻制程之后,从基板210移除图案化光阻层,举例而言,通过光阻去除制程。另外地,通过多重图案化制程形成鳍片结构220,例如双重图案化微影(double patterning lithography,DPL)制程(举例而言,微影-蚀刻-微影-蚀刻(lithography-etch-lithography-etch,LELE)制程、自对准双重图案化(self-aligned double patterning,SADP)制程、间隔件-介电质(spacer-is-dielectric,SID)自对准双重图案化制程、其他双重图案化制程或其组合);三重图案化制程(举例而言,微影-蚀刻-微影-蚀刻-微影-蚀刻(lithography-etch-lithography-etch-lithography-etch,LELELE)制程、自对准三重图案化(self-aligned triple patterning,SATP)制程、其他三重图案化制程或其组合);其他多重图案化制程(举例而言,自对准四重图案化制程(self-aligned quadruple patterning,SAQP)或其组合。在一些实施方式中,在形成鳍片结构220时,运用定向自组装(directed self-assembly,DSA)技术。此外在一些替代实施方式中,曝光制程可运用无光罩微影、电子束曝光(electron-beam writing)、离子束曝光(ion-beamwriting)及/或纳米压模(nanoimprint)技术,以图案化光阻层。
在图2B中,执行修整制程以修整鳍片结构220,从而缩减鳍片222的尺寸。举例而言,修整制程减少了鳍片222的宽度,使得在修整制程后,鳍片222具有小于宽度w1的宽度w2。修整制程亦增加了鳍片222之间的间隙,使得相邻的鳍片222被大于间隙S1的间隙S2所分隔。在一些实施方式中,修整制程减少鳍片222的宽度到2nm至约20nm,尽管本揭示的修整制程移除了不同数量的鳍片222。举例而言,在一些宽度w1为约5nm至约30nm的实施方式中,修整制程减少鳍片222的宽度,使得宽度w2为约2nm至约20nm。在一些实施方式中,修整制程可使得后续形成的层的形成更为容易。(举例而言,通过增加鳍片222之间的间距)。虽然没有绘示,但可理解的是,修整制程可减少鳍片222的高度h。在一些实施方式中,可调整(或控制)修整制程以缩减宽度w1,同时最小化对鳍片222的高度h的影响(举例而言,相较于鳍片222的高度h,鳍片222的宽度w1以较快的速率缩减)。在一些实施方式中,调整修整制程,以相同的速率缩减宽度w1和高度h,使得鳍片222亦具有缩减的高度(虽这些并没有绘示)。此外,本揭示考量可能源自修整制程中的鳍片222的高度h、宽度w2及长度l的变化。举例而言,相似于上述的宽度w1,宽度w2可沿着鳍片222变化。在一些实施方式中,应用修整制程于鳍片222的次组合,其中一些鳍片222并没有受到修整制程的修整。
修整制程执行任何合适的制程,以缩减鳍片222的尺寸。举例而言,在一些实施方式中,修整制程包括蚀刻制程,此蚀刻制程可以选择性地蚀刻鳍片222,相对于鳍式场效晶体管装置200的其他特征。蚀刻制程是干蚀刻制程、湿蚀刻制程或其组合。在一些实施方式中,湿蚀刻制程使用的蚀刻溶液包括氨水(NH4OH)、过氧化氢(H2O2)、硫酸(H2SO4)、四甲基氢氧化铵(TMAH)、其他合适的湿蚀刻溶液或其组合。举例而言,湿蚀刻溶液可使用NH4OH:H2O2溶液、NH4OH:H2O2:H2O溶液(称为氨水-过氧化氢混合物(ammonia-peroxide mixture,APM)、或H2SO4:H2O2溶液(称为硫酸-过氧化氢混合物(sulfuric peroxide mixture,SPM)。在一些实施方式中,干蚀刻制程使用的蚀刻剂气体包括含氟蚀刻剂气体(举例而言,CF4、SF6、CH2F2、CHF3及/或C2F6)、含氧气体、含氯气体(举例而言,Cl2、CHCl3、CCl4及/或BCl3)、含溴气体(举例而言,HBr及/或CHBR3)、含碘气体、其他合适的气体及/或等离子、或其组合。在一些实施方式中,修整制程执行氧化制程。举例而言,修整制程可将鳍片222暴露至臭氧环境,从而氧化鳍片222的一部分,其接着通过清洗制程及/或蚀刻制程而移除,例如在此叙述者。通过控制修整制程(例如修整时间、修整制程条件或其他修整参数),可修改鳍片222的分布,以符合鳍式场效晶体管装置200的各种设计要求。举例而言,其中修整制程包括蚀刻制程、各种蚀刻参数,例如所使用的蚀刻剂、蚀刻温度、蚀刻溶液浓度、蚀刻压力、电源功率、射频偏压(RF bias voltage)、射频偏压功率(RF bias power)、蚀刻剂流速及/或其他合适的蚀刻参数,被修改以移除所欲数量的鳍片222,及/或达到所欲的鳍片222的分布。
在图2C中,掺杂非晶层230形成于鳍片结构220的上方。掺杂非晶层230包括非结晶结构的材料(换言之,具有不规则原子结构的材料)。在一些实施方式中,掺杂非晶层230与鳍片结构220包括相同的材料,但具有不同的原子结构。举例而言,掺杂非晶层230包括与鳍片结构210相同的材料,除了具有非结晶结构的材料。在所绘示的实施方式中,其中鳍片结构220包括位于结晶结构内的硅,掺杂非晶层230包括位于非结晶结构内的硅,例如非晶硅。掺杂非晶层230因此可被称为掺杂非晶硅层。另外地,在一些实施方式中,掺杂非晶层230与鳍片结构包括具有不同原子结构的不同材料。举例而言,鳍片结构220包括半导体材料,例如硅锗,并且掺杂非晶层230包括非结晶态的硅,例如非晶硅。根据鳍式场效晶体管装置200的设计要求,掺杂非晶层230包括n型掺杂物、p型掺杂物或其组合。当鳍式场效晶体管装置200配置为p型鳍式场效晶体管装置,掺杂非晶层230包括p型掺杂物,例如硼、锗、铟、其他p型掺杂物或其组合。当鳍式场效晶体管装置200配置为n型鳍式场效晶体管装置,掺杂非晶层230包括n型掺杂物,例如砷、磷、其他n型掺杂物或其组合。在一些实施方式中,掺杂非晶层230是重度掺杂,是以具有介于约1×1021掺杂物/cm3(cm-3)至约4×1021cm-3的掺杂物浓度的掺杂物。在一些实施方式中,相较于鳍片结构220,掺杂非晶层230具有较高的掺杂物浓度。举例而言,掺杂非晶层230可具有介于1×1021cm-3至约4×1021cm-3之间的掺杂物浓度,而鳍片结构220可具有介于1×1010cm-3至约1×1018cm-3之间的掺杂物浓度(在一些实施方式中,鳍片结构220被视为无掺杂、或位于、或低于这个掺杂浓度)。
掺杂非晶层230包覆鳍片结构220。举例而言,鳍片222包括定义于侧壁部分之间的顶部分(例如定义鳍片222的宽度w2的侧壁),其中掺杂非晶层230设置于顶部分与侧壁部分上。在一些实施方式中,顶部分是鳍片结构220的基本上水平的一侧(举例而言,基本上平行于x-y平面),而侧壁部分是鳍片结构220的基本上垂直的侧边(举例而言,基本上平行于x-z平面)。掺杂非晶层230具有厚度t。在一些实施方式中,掺杂非晶层230具有的厚度t为约1nm至约10nm。举例而言,在所绘示的实施方式中,厚度t为约3nm至约5nm。在一些实施方式中,鳍片222的最终宽度将会符合所定义的目标宽度(wt),例如鳍式场效晶体管装置200的设计规格及/或集成电路设计布局所定义的临界尺寸。在这些实施方式中,宽度w3代表鳍片222的宽度w2与掺杂非晶层230的厚度t的总和(举例而言,w3≈w2+t),其中宽度w3是基本上相等于目标宽度wt(举例而言,w3≈wt)。在一些实施方式中,厚度t是基本上相等于在修整制程时所移除的鳍片222的宽度wr(举例而言,t≈wr)。本揭示考量了如在此所叙述的,源自制程中所产生的宽度w3与厚度t的变异。
掺杂非晶层230由合适的沉积制程而形成,例如化学气相沉积(chemical vapordeposition,CVD)、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomiclayer deposition,ALD)、高密度等离子化学气相沉积(high density plasma CVD,HDPCVD)、金属有机物化学气相沉积(metal organic CVD,MOCVD)、远距式等离子化学气相沉积(remote plasma CVD,RPCVD)、等离子增强化学气相沉积(plasma enhanced CVD,PECVD)、低压化学气相沉积(low-pressure CVD,LPCVD)、原子层化学气相沉积(atomiclayer CVD,ALCVD)、大气压化学气相沉积(atmospheric pressure CVD,APCVD)、旋转涂布、镀覆(plating)、其他沉积方法或其组合。在所绘示的实施方式中,掺杂非晶层230是共形地沉积于鳍片结构220上方,使得厚度t在鳍片结构220及/或基板210的暴露表面上方是基本上均匀的。任何合适的制程(举例而言,离子布植制程、扩散制程、原位掺杂制程或其组合)可被运用于掺杂沉积于鳍片结构220上方的材料。在一些实施方式中,执行选择性磊晶生长(Selective Epitaxial Growth,SEG)制程,以将半导体材料生长于鳍片结构220的暴露部分上,其中在选择性磊晶生长制程中,将掺杂物引入半导体材料内(举例而言,通过添加掺杂物至选择性磊晶生长制程的源材料),从而形成掺杂非晶层230。选择性磊晶生长制程可运用化学气相沉积沉积技术(举例而言,气相磊晶(vapor-phase epitaxy,VPE)、超真空化学气相沉积(ultra-high vacuum CVD,UHV-CVD)、低压化学气相沉积(LPCVD)及/或等离子增强化学气相沉积(PECVD)),分子束磊晶(molecular beam epitaxy),其他合适的选择性磊晶生长制程或其组合。选择性磊晶生长制程可使用气相前驱物(举例而言,含硅气体,例如SiH4及/或含锗气体,例如GeH4)及/或液体前驱物,其与鳍片结构220的组成物相互作用。举例而言,在所绘示的实施方式中,其中掺杂非晶层230是掺杂非晶硅层,沉积制程可暴露鳍片结构220(及基板210)至含硅气体(举例而言,含氢化硅的气体(例如SiH4、Si2H6、Si3H8、Si4H10或其组合)),并添加掺杂物(举例而言,锗、硼、砷、磷或其组合)至含硅气体。在一些实施方式中,掺杂非晶层230包括在鳍式场效晶体管装置200的通道区中实现所欲的拉伸应力及/或压应力的材料及/或掺杂物。
在图2D中,撞击式布植制程240执行于掺杂非晶层230上,从而形成掺杂特征250。撞击式布植制程240以离子242轰击掺杂非晶层230,而离子242将掺杂物从掺杂非晶层230驱入鳍片结构220及/或基板210内(换言之,离子242将掺杂物从掺杂非晶层230撞击进入鳍片结构220与基板210)。离子242可包括碳、锗、氩、氮及/或不会对鳍式场效晶体管装置200的工作特性产生不利影响的离子。在所绘示的实施方式中,离子242是氩原子。在一些实施方式中,离子242是非掺杂的物种。撞击式布植制程240可于倾斜角α执行,其中可调整倾斜角α,以最小化阴影效应,同时最大化进入掺杂非晶层230的离子深度,及/或进入鳍片结构220及/或基板210的掺杂物深度。在一些实施方式中,撞击式布植制程240是等离子浸没离子布植(plasma immersion ion,PIII)制程(亦称为等离子掺杂)。在一些布植中,于约20℃至约40℃的温度下执行撞击式布植制程240,并且在一些实施方式中,于约室温(举例而言,约20℃至约25℃)执行。在一些布植中,撞击式布植制程240使用约1kV至约5kV的偏电压(亦称为布植电压(implant voltage))。在一些实施方式中,偏电压一般所指的是在撞击式布植制程240中,施加于基板210的直流偏电压。相较于传统掺杂技术对鳍片结构220所使用的布植电压,撞击式布植制程240于较低的布植电压下完成。举例而言,传统的离子布植制程一般上需要约8KV至约15kV的偏电压,而其他掺杂技术可需要约20kV至约50kV偏电压,以确保掺杂物深入鳍片的足够深度内。已经观察到如此的高电压布植制程会损伤鳍片,经常是损伤鳍片的分布。相反地,在掺杂非晶层230上执行使用了低于约5kV偏电压的撞击式布植制程240,可足以将掺杂物驱入鳍片结构220内(在一些实施方式中,将掺杂物驱入鳍片结构220的20nm处),并且不损伤鳍片结构220的分布,从而提供了优于传统掺杂技术的改良。此外,相较于常表现出较少的有效掺杂物分布的传统离子布植制程,撞击式布植制程240可较好地控制鳍片结构220的掺杂物分布,这是因于传统离子布植制程的掺杂物散射与掺杂物角度限制。
通过将掺杂物驱入鳍片222内,撞击式布植制程240形成鳍片222的掺杂部分252,同时亦导致掺杂非晶层230的至少一部分成为鳍片结构220的一部分。特定而言,撞击式布植制程240修饰了掺杂非晶层230的一部分的原子结构,转化(结晶化)掺杂非晶层230的非结晶材料的一部分成为结晶材料。在所绘示的实施方式中,撞击式布植制程240转化掺杂非晶层230成为掺杂层254,使得掺杂非晶层230在整体上成为鳍片结构220的一部分,并且没有残留的掺杂非晶层230部分。掺杂特征250因而包括掺杂部分252与掺杂层254。当鳍片结构220包括硅,并且掺杂非晶层23包括非晶硅时,撞击式布植制程240结晶化非晶硅(换言之,重排列其原子结构),使得掺杂特征250是掺杂硅特征。另外,当鳍片结构220包括硅锗(或其他半导体材料),并且掺杂非晶层230包括非晶硅时,撞击式布植制程240结晶化非晶硅(换言之,重排列其原子结构),使得掺杂特征250是包括一个掺杂硅特征与一个掺杂硅锗(或其他半导体材料)特征。在一些实施方式中,掺杂层254是硅覆盖层。在一些实施方式中,掺杂特征250是设置于鳍片结构220的源极区及/或漏极区中的轻度掺杂的源极与漏极(lightly doped source and drain,LDD)特征(或区域)。在一些实施方式中,掺杂特征250是鳍式场效晶体管装置200的掺杂阱(或区域),例如用于定义鳍式场效晶体管装置200的主动区域的掺杂阱。已经观察到,相较于使用传统掺杂技术所形成的掺杂特征,掺杂特征250表现出更深、更大及/或更均匀的掺杂分布,例如使用具有结晶结构的材料的掺杂层的离子布植制程及/或撞击式布植制程。在一些实施方式中,掺杂特征250具有介于约1×1020cm-3至约5×1020cm-3的掺杂物浓度。在一些实施方式中,沿着鳍片222的宽度及/或高度,掺杂特征250内的掺杂浓度是基本上均匀的。在一些实施方式中,沿着掺杂特征250的宽度的任何定义点、沿着掺杂特征250的高度、及/或在掺杂特征250内的掺杂浓度是位于彼此的±5%内,掺杂浓度被认为是基本上均匀的。在一些实施方式中,掺杂部分252的掺杂物浓度是基本上相等于掺杂层254的掺杂物浓度。在一些实施方式中,当掺杂部分252的掺杂浓度(例如平均掺杂浓度)与掺杂层254的掺杂浓度(例如平均掺杂浓度)是位于彼此的±5%内,掺杂浓度被认为是基本上相等的。
鳍式场效晶体管的传统掺杂技术经常需要在形成掺杂特征后移除材料层(例如掺杂层),其导致表面损伤及/或鳍片结构的其他损伤。通过转化掺杂非晶层230成为鳍片结构220的一部分,不需要进一步的处理来移除掺杂非晶层230,而得到图2E中所绘示的鳍式场效晶体管装置200。后续的湿蚀刻制程及/或后续的清洗制程可因而被消去,将表面损伤(例如表面粗糙度)及/或鳍片结构220的其他损伤最小化。此外,转化掺杂非晶层230成为鳍片结构220的一部分,将鳍片222之间的间距S3最小化,改善了鳍片至鳍片合并制程窗口(举例而言,其中磊晶源极与漏极特征后续形成于鳍片222上,其中这些磊晶源极与漏极特征结合以形成合并磊晶源极与漏极特征)。
图3A至图3E是根据本揭示的多个态样,于部分或全部,在各个制造阶段中的鳍式场效晶体管装置300的局部横截面图(例如与方法100相关者)。在一些实施方式中,鳍式场效晶体管装置300可是集成电路晶片、系统上晶片(SoC)或其部分的一部分,包括各种被动式与主动微电子装置,例如电阻器、电容器、电感器、二极管、金属氧化物半导体场效晶体管(MOSFET)、互补式金属氧化物半导体(CMOS)晶体管、双极性接面晶体管(BJTs)、横向扩散金属氧化物半导体(LDMOS)晶体管、高电压晶体管、高频晶体管、其他合适的部件或其组合。鳍式场效晶体管装置300在许多方面与鳍式场效晶体管装置相似。相应地,为了清楚和简化起见,在图2A至图2E与图3A至图3E中,相似的特征以相同的参考符号标记。为清楚起见,图3A至图3E已被简化,以更好地理解本揭示的发明概念。另外的特征可加入鳍式场效晶体管装置300内,并且在鳍式场效晶体管装置300的其他实施方式中,一些以下所叙述的特征可被取代、修改或删除。
在图3A中,相似于鳍式场效晶体管装置200,鳍式场效晶体管装置300包括基板210与鳍片结构220(包括从基板210延伸的鳍片222),如上文参照图2A的详细叙述。与鳍式场效晶体管装置200的制造相反的是,没有在鳍式场效晶体管装置300上执行修整制程。在图3B中,因此续行鳍式场效晶体管装置300的制造,伴随在鳍片结构220上形成掺杂非晶层230,如上文参照图2C的详细叙述。
在图3C中,相似于鳍式场效晶体管装置200,在掺杂非晶层230上执行撞击式布植制程240,其中离子242将掺杂物从掺杂非晶层230驱入鳍片结构220及/或基板210内,如上文参照图2D的详细叙述。与鳍式场效晶体管装置200的制造相反的是,在撞击式布植制程240时,只有掺杂非晶层230的一部分成为鳍片结构220的一部分,使得鳍片结构220包括掺杂特征350,其包括鳍片222的掺杂部分352与掺杂层354(另也称为掺杂非晶层230的转化部分)。举例而言,撞击式布植制程240仅有转化掺杂非晶层230的一部分成为掺杂层354,使得掺杂非晶层230的一部分残留于鳍片结构220上方。特定而言,撞击式布植制程240修饰了掺杂非晶层230的一部分的原子结构,转化(结晶化)掺杂非晶层230的非结晶材料的一部分成为结晶材料。当鳍片结构220包括硅,并且掺杂非晶层230包括非晶硅时,撞击式布植制程240结晶化非晶硅(换言之,重排列其原子结构),使得掺杂特征350是掺杂硅特征。另外,当鳍片结构220包括硅锗(或其他半导体材料),并且掺杂非晶层230包括非晶硅时,撞击式布植制程240结晶化非晶硅(换言之,重排列其原子结构),使得掺杂特征350是包括掺杂硅特征与掺杂硅锗(或其他半导体材料)特征。在一些实施方式中,掺杂层354是硅覆盖层。另外与鳍式场效晶体管装置200相反的是,撞击式布植制程240将掺杂物驱入部分的鳍片结构220内,使得鳍式场效晶体管装置300包括具有掺杂部分352与无掺杂部分356的鳍片222(其在一些实施方式中,表明部分的鳍片222具有的的掺杂浓度低于掺杂部分352的掺杂浓度)。
在撞击式布植制程240之后,掺杂非晶层230具有厚度t1,而掺杂层354具有厚度t2,这两者均小于掺杂非晶层230的原始厚度(在此为厚度t)。在一些实施方式中,厚度t1是约1nm至约7nm,而厚度t2是约1nm至约3nm。在一些实施方式中,鳍片222的最终宽度将会符合所定义的目标宽度(wt),例如鳍式场效晶体管装置300的设计规格及/或集成电路的设计布局所定义的临界尺寸。在这些实施方式中,宽度w4代表鳍片222的宽度w1与掺杂层354的厚度t2的总和(举例而言,w4≈w1+t2),其中宽度w4是基本上相等于目标宽度wt(举例而言,w4≈wt)。在一些实施方式中,调整撞击式布植制程240,以确保足够部分的掺杂非晶层230转化成为掺杂层354,使得宽度w4是基本上相等于目标宽度wt。本揭示考量了源自此处叙述的处理所产生的宽度w4、厚度t1与厚度t2的变异。
在一些实施方式中,掺杂特征350是设置于鳍片结构220的源极区及/或漏极区内的轻度掺杂的源极与漏极特征(或区域)。在一些实施方式中,掺杂特征350是鳍式场效晶体管装置300的掺杂阱(或区域),例如用以定义鳍式场效晶体管装置的主动区域的掺杂阱。已观察到,相对于使用传统掺杂技术形成的掺杂特征,掺杂特征350表现了更深、更大及/或更均匀的掺杂分布,例如使用具有结晶结构的材料的掺杂层的离子布植制程及/或撞击式布植制程中。在一些实施方式中,掺杂特征350具有介于约1×1020cm-3至约5×1020cm-3之间的掺杂物浓度。在一些实施方式中,掺杂特征350中的掺杂浓度沿着其厚度是基本上均匀的。在一些实施方式中,当位于沿着掺杂特征350的厚度的任一定义数目的点的掺杂浓度是落在彼此的±5%内,掺杂浓度被认为是基本上均匀的。在一些实施方式中,掺杂部分352的掺杂物浓度是基本上相等于掺杂层354的掺杂物浓度。在一些实施方式中,掺杂浓度掺杂特征基本上相同的,当掺杂部分352的掺杂浓度(例如平均掺杂浓度)与掺杂层354的掺杂浓度(例如平均掺杂浓度)是位于彼此的±5%内。
通过合适的制程移除掺杂非晶层230的任何残留部分。在图3D中,在掺杂非晶层230上执行氧化制程,从而形成氧化掺杂非晶层360。在一些实施方式中,氧化制程是高温氧化制程。举例而言,掺杂非晶层230暴露于温度为约800℃至约1,000℃的臭氧环境中,从而氧化掺杂非晶层230。在一些实施方式中,氧化制程是快速热氧化(rapid thermaloxidation,RTO)制程。接下来,通过合适的湿蚀刻制程及/或清洗制程移除氧化掺杂非晶层360,留下图3E中所绘示的鳍式场效晶体管装置300。在一些实施方式中,清洗制程是硫酸-过氧化氢混合物(SPM)清洗制程,举例而言,运用硫酸-过氧化氢混合物(SPM)湿蚀刻溶液。在这些实施方式中,可于约150℃至约200℃的温度下执行硫酸-过氧化氢混合物(SPM)清洗制程。通过氧化掺杂非晶层230,可运用较温和的湿蚀刻制程及/或清洗制程,以移除氧化掺杂非晶层360,最小化或消除表面损伤(例如表面粗糙度)及/或其他鳍片结构220的损伤,相对于传统掺杂技术中所使用的湿蚀刻制程及/或清洗制程。此外,转化掺杂非晶层230的一部分成为鳍片结构220的一部分,将鳍片222之间的间距S4最小化,改善鳍片至鳍片合并制程窗口(举例而言,其中磊晶源极与漏极特征后续形成于鳍片222上,其中这些磊晶源极与漏极特征结合以形成合并磊晶源极与漏极特征)。
图4是根据本揭示的多个态样,在完成参照图2A至图2E所叙述的制程以形成轻度掺杂的源极区与漏极区后,鳍式场效晶体管装置400的透视、三维视图(举例而言,在x-y-z平面中)。鳍式场效晶体管装置400可以是包括位于微处理器、记忆体及/或其他集成电路装置内。在一些实施方式中,鳍式场效晶体管装置400可以是集成电路晶片、系统上晶片(SoC)或其部分的一部分,包括各种被动式与主动式微电子装置,例如电阻器、电容器、电感器、二极管、金属氧化物半导体场效晶体管(MOSFET)、互补式金属氧化物半导体(CMOS)晶体管、双极性接面晶体管(BJTs)、横向扩散金属氧化物半导体(LDMOS)晶体管、高电压晶体管、高频晶体管、其他合适的部件或其组合。鳍式场效晶体管装置400在许多方面上与鳍式场效晶体管装置200类似。相应地,为了清楚和简化起见,在图2A至图2E与图4中,相似的特征以相同的参考符号标记。为清楚起见,图4已被简化,以更好地理解本揭示的发明概念。另外的特征可加入鳍式场效晶体管装置400内,并且在鳍式场效晶体管装置400的其他实施方式中,一些以下所叙述的特征可被取代、修改或删除。
类似于鳍式场效晶体管装置200,鳍式场效晶体管装置400包括基板210与鳍片结构220,包括从基板210延伸的鳍片。在图4中,鳍片222在z方向上从基板210延伸,使得鳍片222具有在z方向上定义的高度(例如高度h)、在x方向上定义的长度、在y方向上定义的宽度及/或间距(例如宽度w1、宽度w2、宽度w3、间距S1、间距S2、间距S3)。每一个鳍片222具有沿着各别鳍片222而定义的长度(在此为沿着x方向)的通道区402、源极区404与漏极区406,其中通道区402设置于源极区404与漏极区406之间(一般称为源极区/漏极区)。每一个通道区402包括定义于各别鳍片222的侧壁部分之间的顶部分,其中顶部分与侧壁部分接触栅极结构410(以下将详细叙述),使得在操作鳍式场效晶体管装置时,电流可以流过各自源极区404与各自漏极区406之间。在图4中,栅极结构410阻挡了鳍片222的通道区402的显示。在一些实施方式中,顶部分是鳍片222的基本上水平的侧边(举例而言,基本上平行于x-y平面),而两个侧壁部分是鳍片222的基本上垂直的侧边(举例而言,基本上平行于x-z平面)。
鳍式场效晶体管装置400的制造类似于参照图2A至图2E所叙述的鳍式场效晶体管装置200的制造。然而,在形成掺杂非晶层230之前,隔离特征420形成于基板210的上方及/或内部,以隔离各个区域,例如鳍式场效晶体管装置400的各种装置区域。举例而言,隔离特征420将鳍片222彼此分隔与隔离。在所绘示的实施方式中,隔离特征420环绕鳍片结构220的一部分,例如鳍片结构220的底部分。隔离特征420包括氧化硅、氮化硅、氮氧化硅、其他合适的隔离材料或其组合。隔离特征420可包括不同的结构,例如浅沟槽隔离(ShallowTrench Isolation,STI)结构、深沟槽隔离(Deep Trench Isolation,DTI)结构及/或硅局部氧化(local oxidation of silicon,LOCOS)结构。在一些实施方式中,隔离特征420包括浅沟槽隔离(STI)特征,将鳍片结构220从其他主动装置区域及/或被动装置区域定义并电性隔离。举例而言,浅沟槽隔离(STI)特征可通过蚀刻沟槽而形成于基板210内(举例而言,通过使用干蚀刻制程及/或湿蚀刻制程),并以绝缘体的材料充填沟槽(举例而言,通过使用化学气相沉积制程或旋转涂布玻璃制程)。可执行化学机械研磨(CMP)制程,以移除过多的绝缘体的材料及/或平坦化隔离特征420的顶表面。在另一实施例中,在形成鳍片结构220之后,可通过沉积绝缘体的材料于基板210上方而形成浅沟槽隔离(STI)特征,(在一些实施方式中,使得绝缘体的材料层充填鳍片222之间的凹口(沟槽)),并反蚀刻绝缘体的材料层,以形成隔离特征420。在一些实施方式中,浅沟槽隔离(STI)特征包括充填沟槽的多层结构。举例而言,浅沟槽隔离(STI)特征包括设置于热氧化物衬垫层(thermal oxide liner layer)上方的氮化硅层。在另一实施例中,浅沟槽隔离(STI)特征包括设置于掺杂衬垫层的介电层(例如硼硅酸盐玻璃(boron silicate glass,BSG)衬垫层或磷硅玻璃(phosphosilicateglass,PSG)衬垫层)。在另一实施例中,浅沟槽隔离(STI)特征包括设置于衬垫介电层(liner dielectric layer)上方的主介电层(bulk dielectric layer),其中主介电层与衬垫介电层所包括的材料是依据设计要求。
更进一步来说,在这些实施方式中,在形成掺杂非晶层230之前,栅极结构410可形成于鳍片结构220上方。栅极结构410包覆鳍片222的通道区402,从而接合鳍片222,并中介源极区404与漏极区406。在所绘示的实施方式中,栅极结构410接合通道区402的顶部分与侧壁部分,使得栅极结构410接合通道区402的三侧。栅极结构410包括虚拟栅极堆叠,在栅极替换制程时,其部分可被金属栅极所取代,如以下所详细叙述之。在所绘示的实施方式中,虚拟栅极堆叠包括栅极介电质430与栅极电极432。栅极介电质430是设置于栅极电极432与鳍片222之间,而栅极介电质430与栅极电极432配置为包覆鳍片222(特定而言,通道区402)。栅极介电质430包括介电材料,例如氧化硅、高k值介电材料、其他合适的介电材料或其组合。高k值介电材料的实施例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k值介电材料或其组合。栅极电极432包括合适的虚拟栅极材料,例如多晶硅。虚拟栅极堆叠可包括多个其他的层,举例而言,覆盖层、界面层(interface layer)、扩散层、阻隔层、硬遮罩层或其组合。在一些实施方式中,虚拟栅极堆叠进一步包括内界面层(interfacial layer),例如氧化硅层,设置于栅极介电质430与栅极电极432之间。在一些实施方式中,覆盖层可设置于栅极介电质430与栅极电极432之间,例如TiN覆盖层。
栅极结构410由沉积制程、微影制程、蚀刻制程、其他合适的制程或其组合而形成。举例而言,可执行沉积制程,以形成栅极介电层于基板210上方,特别是鳍片结构220及隔离特征420上方,并且可执行沉积制程,以形成栅极电极于栅极介电层上方。在一些实施方式中,在形成栅极介电层之前,执行沉积制程,以形成内界面层于基板210上方。沉积制程包括化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、高密度等离子化学气相沉积(HDPCVD)、金属有机物化学气相沉积(MOCVD)、远距式等离子化学气相沉积(RPCVD)、等离子增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)、原子层化学气相沉积(ALCVD)、大气压化学气相沉积(APCVD)、镀覆(plating)、其他合适的方法或其组合。接着可执行微影图案化与蚀刻制程,以图案化栅极介电层与栅极电极层(在一些实施方式中,以及内界面层),以形成栅极介电质430与栅极电极432。微影图案化制程包括光阻涂布(举例而言,旋转涂布)、软烘烤、光罩对准、曝光、曝光后烘烤、光阻显影、冲洗、干燥(举例而言,硬烘烤)、其他合适的制程或其组合。另外,由其他方法辅助、实施或取代微影曝光制程,例如无光罩微影、电子束曝光(electron-beam writing)或离子束曝光(ion-beam writing)。在另一alternative中,微影图案化制程运用了纳米压模技术。蚀刻制程包括干蚀刻制程、湿蚀刻制程、其他蚀刻制程或其组合。
在栅极结构410的形成之后,轻度掺杂源极与漏极(LDD)特征450形成于鳍式场效晶体管装置400的源极区404与漏极区406内。轻度掺杂源极与漏极(LDD)特征450类似于鳍式场效晶体管装置200的掺杂特征250,上文已参照图2A至图2E详细叙述。举例而言,掺杂非晶层形成于鳍片222的源极区404与漏极区406上方,并且在掺杂非晶层上执行撞击式布植制程,以将掺杂物从掺杂非晶层驱入源极区404与漏极区406内。撞击式布植制程亦转化掺杂非晶层成为鳍片222的一部分,使得轻度掺杂源极与漏极(LDD)特征450包括鳍片222的掺杂部分与转化(重结晶)的掺杂非晶层。在一些实施方式(例如所绘示的实施方式),在形成隔离特征420及/或栅极结构410之前,执行鳍片修整制程,例如以上所叙述的。或者,在一些实施方式中,在形成隔离特征420及/或栅极结构410之后,执行鳍片修整制程,使得鳍片222的顶部分的宽度(例如延伸于隔离特征420之上的鳍片222的一部分)是小于鳍片222的底部分的宽度。此后,鳍式场效晶体管装置400可受到后续的制造,如以下所叙述。
图5是根据本揭示的多个态样,在受到如参照图3A至图3E所叙述的制程以形成轻度掺杂的源极区与漏极区后,鳍式场效晶体管装置500的透视、三维视图(举例而言,在x-y-z平面中)。鳍式场效晶体管装置500可位于包括微处理器、记忆体及/或其他集成电路装置内。在一些实施方式中,鳍式场效晶体管装置500可以是集成电路晶片、系统上晶片(SoC)、或其部分的一部分,其包括各种被动式与主动式微电子装置,例如电阻器、电容器、电感器、二极管、金属氧化物半导体场效晶体管(MOSFET)、互补式金属氧化物半导体(CMOS)晶体管、双极性接面晶体管(BJTs)、横向扩散金属氧化物半导体(LDMOS)晶体管、高电压晶体管、高频晶体管、其他合适的部件或其组合。鳍式场效晶体管装置500在许多方面上类似于鳍式场效晶体管装置300与鳍式场效晶体管装置400。相应地,为了清楚和简化起见,在图3A至图3E、图4及图5中,相似的特征以相同的参考符号标记。为清楚起见,图5已被简化,以更好地理解本揭示的发明概念。另外的特征可加入鳍式场效晶体管装置500内,并且在鳍式场效晶体管装置500的其他实施方式中,一些以下所叙述的特征可被取代、修改或删除。
类似于装置300,鳍式场效晶体管装置500包括基板210与鳍片结构220,其包括从基板210延伸的鳍片222。在图5中,鳍片222在z方向上从基板210延伸,使得鳍片222具有在z方向上定义的高度(例如高度h)、在x方向上定义的长度、以及在y方向上定义的宽度及/或间距(例如宽度w1、宽度w4、间距S1与间距S4)。每一个鳍片222具有沿着各自鳍片222的长度所定义的通道区502、源极区504与漏极区506(在此为沿着x方向),其中通道区502是设置于源极区504与漏极区506之间(一般称为源极区/漏极区)。每一个通道区502包括定义于各自鳍片222的侧壁部分之间的顶部分,而顶部分与侧壁部分接合于栅极结构410(上文已详细叙述),使得在鳍式场效晶体管装置500运作时,电流可以流过各自源极区504与各自漏极区506之间。在图5中,栅极结构410阻挡了鳍片222的通道区502的显示。
鳍式场效晶体管装置500的制造相似于鳍式场效晶体管装置300的制造,如参照图3A至图3E所叙述的。然而,在形成掺杂非晶层230之前,相似于鳍式场效晶体管装置400,隔离特征420形成于基板210的上方及/或内部,以隔离鳍式场效晶体管装置500的各个区域,如上所述。相似于鳍式场效晶体管装置400,在形成掺杂非晶层230之前,栅极结构410亦形成于鳍片结构220上方,如上所述。在形成栅极结构410之后,轻度掺杂源极与漏极(LDD)特征550形成于鳍式场效晶体管装置500的源极区504与漏极区506内。轻度掺杂源极与漏极(LDD)特征550相似于鳍式场效晶体管装置300的掺杂特征350,上文已经参照图3A至图3E所详细叙述。举例而言,掺杂非晶层形成于鳍片222的源极区504与漏极区506的上方,并执行撞击式布植制程于掺杂非晶层上,以将掺杂物从掺杂非晶层驱入源极区504与漏极区506的一部分内。撞击式布植制程亦转化掺杂非晶层的一部分成为鳍片222的一部分,使得轻度掺杂源极与漏极(LDD)特征550包括鳍片222的掺杂部分与掺杂非晶层的转化(重结晶)部分。此后,移除任何残留的掺杂非晶层,举例而言,通过氧化移除制程。此后,鳍式场效晶体管装置500可受到进一步的制程处理。
鳍式场效晶体管装置400及/或鳍式场效晶体管装置500可受到进一步的制程处理。举例而言,可形成间隔件并相邻于栅极结构410(在此为栅极介电质430与栅极电极432)。间隔件包括介电材料,例如氧化硅、氮化硅、氧氮化硅、碳化硅、其他合适的材料或其组合。在一些实施方式中,间隔件包括多层结构,例如氮化硅层与氧化硅层。间隔件是由任何合适的制程形成。在一些实施方式中,间隔件包括多于一套组的间隔件,例如密封(seal)间隔件、偏移(offset)间隔件、虚拟(dummy)间隔件及/或主间隔件,形成并相邻于虚拟栅极堆叠。在这些实施方式中,各种套组的间隔件可包括的材料具有不同的蚀刻速率。举例而言,氧化硅层可沉积于鳍片结构220上方,以及接下来受到各向异性蚀刻(举例而言,干蚀刻),以形成相邻于虚拟栅极堆叠的第一间隔件套组,并且可沉积氮化硅层于鳍片结构220上方,接着蚀刻(举例而言,干蚀刻),以形成相邻于第一间隔件套组的第二间隔件。
接下来可执行栅极替换制程,以使用栅极来取代栅极结构410的虚拟栅极堆叠,例如金属栅极堆叠。举例而言,层间介电层(inter-level dielectric,ILD)可形成于基板210上方,举例而言,通过沉积制程(例如化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、高密度等离子化学气相沉积(HDPCVD)、金属有机物化学气相沉积(MOCVD)、远距式等离子化学气相沉积(RPCVD)、等离子增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)、原子层化学气相沉积(ALCVD)、大气压化学气相沉积(APCVD)、镀覆(plating)、其他合适的方法或其组合)。层间介电层包括介电材料,例如氧化硅、氮化硅、氧氮化硅、四乙氧基硅烷(TEOS)形成的氧化物、磷硅酸盐玻璃(PSG)、硼掺杂磷酸硅酸盐玻璃(borophosphosilicate glass,BPSG)、低k值介电材料、其他合适的介电材料或其组合。例示性的低k值介电材料包括氟化石英玻璃(fluorinated silica glass,FSG)、碳掺杂氧化硅、(Black加利福尼亚州圣克拉拉的应用材料)、干凝胶(Xerogel)、气凝胶(Aerogel)、无定形氟化碳、聚对二甲苯(Poly-para-xylylene,Parylene)、双苯并环丁烯(bis-benzocyclobutenes,BCB)、SiLK(道氏化学,Midland,密歇根州)、聚酰亚胺、其他适当的材料或其组合。在一些实施方式中,层间介电层可包括具有多个介电材料的多层结构。后续于层间介电层的沉积,可执行化学机械研磨,使得到达栅极结构410的顶部分(被暴露),例如栅极电极432的顶部分。接着移除栅极结构410的一部分(例如栅极电极432,以及在一些实施方式中,栅极介电质430),从而形成沟槽(开口),其可暴露内界面层及/或栅极介电质(例如栅极介电质430)。在一些实施方式中,蚀刻制程选择性地移除虚拟栅极电极(在一些实施方式中,以及虚拟栅极介电质)。蚀刻制程是干蚀刻制程、湿蚀刻制程或其组合。可调整选择性蚀刻制程,使得虚拟栅极电极层具有足够的蚀刻速率,相对于内界面层、间隔件及/或层间介电层。
栅极结构410的金属栅极堆叠接着形成于开口(沟槽)内。金属栅极堆叠包括栅极介电质与栅极电极(举例而言,例如功函数充填层(work function fill layer)与金属充填层(metal fill layer))。栅极结构410的金属栅极堆叠可包括多个其他层,举例而言,覆盖层、界面层、扩散层、阻隔层、硬遮罩层或其组合。在一些实施方式中,栅极介电层形成于内界面层的上方,而栅极电极层(例如功函数充填层与金属充填层)形成于栅极介电层的上方。栅极介电层包括介电材料,例如氧化硅、高k值介电材料、其他合适的介电材料或其组合。高k值介电材料的实施例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k值介电材料或其组合。在一些实施方式中,栅极介电层是高k值介电层。在一些从虚拟栅极堆叠略去内界面层的实施方式中,栅极介电层可包括内界面层(例如氧化硅层)以及设置于内界面层上方的高k值介电层。栅极电极包括导电性的材料,例如多晶硅、Al、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他导电性的材料或其组合。在一些实施方式中,功函数层是导电性材料,可调整以具有所欲的功函数(例如n型功函数或p型功函数),金属充填层是形成于功函数层上的导电层。在一些实施方式中,功函数层包括n型功函数的材料,例如Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合适的n型功函数的材料或其组合。在一些实施方式中,功函数层包括p型功函数的材料,例如TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合适的p型功函数的材料或其组合。金属充填层包括合适的导电性的材料,例如铝、钨或铜。金属充填层可另外或整体性地包括多晶硅、钛、钽、金属合金、其他合适的材料或其组合。栅极介电层、功函数层与金属充填层是通过各种沉积制程所形成,例如原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)及/或其他合适的制程。在一些实施方式中,功函数层与金属充填层可共形以暴露开口内的表面。可执行化学机械研磨制程,以移除过多的材料(例如任何过多的功函数层及/或任何过多的金属充填层),平面化栅极结构410。
在一些实施方式中,另外的源极/漏极特征,例如重度掺杂源极与漏极(heavilydoped source and drain,HDD)特征形成于鳍片222内。在一些实施方式中,磊晶源极特征与磊晶漏极特征(称为磊晶源极/漏极特征)形成于轻度掺杂源极与漏极(LDD)450及/或轻度掺杂源极与漏极(LDD)550上方。举例而言,形成轻度掺杂源极与漏极(LDD)450及/或轻度掺杂源极与漏极(LDD)550,执行选择性磊晶生长制程以生长半导体材料于鳍片222的暴露部分,从而形成磊晶源极/漏极特征于源极区404(及/或源极区504)与漏极区406(及/或漏极区506)上方。在一些实施方式中,磊晶源极/漏极特征包覆源极区404(及/或源极区504)与漏极区406(及/或漏极区506)。选择性磊晶生长制程可运用化学气相沉积技术(举例而言,气相磊晶(VPE)、超真空化学气相沉积(UHV-CVD)、低压化学气相沉积(LPCVD)及/或等离子增强化学气相沉积(PECVD))、分子束磊晶、其他合适的选择性磊晶生长制程或其组合。选择性磊晶生长制程可以使用气相前驱物(举例而言,含硅气体,例如SiH4及/或含锗气体,例如GeH4)及/或液体前驱物,其与鳍片222的组成相互作用。掺杂物可引入选择性磊晶生长制程,使得在选择性磊晶生长制程时,原位掺杂磊晶源极/漏极特征。举例而言,通过沉积时添加掺杂物至选择性磊晶生长制程的源材料,掺杂磊晶源极/漏极特征。在一些实施方式中,当鳍式场效晶体管装置400及/或鳍式场效晶体管装置500配置为n型装置(举例而言,具有n通道),磊晶源极/漏极特征包括硅或碳化硅,其中硅或碳化硅受到磷、砷、其他的n型掺杂物或其组合的掺杂(举例而言,形成Si:P磊晶层或Si:C:P磊晶层)。在一些鳍式场效晶体管装置400及/或鳍式场效晶体管装置500配置为p-type装置(举例而言,具有p通道)的实施方式中,磊晶源极/漏极特征包括硅锗(SiGe),其中硅锗层受到硼、其他的p型掺杂物或其组合的掺杂(举例而言,形成Si:Ge:B磊晶层)。在一些实施方式中,依据设计要求,磊晶源极/漏极特征包括实现通道区402及/或通道区502中所需的拉伸应力及/或压缩应力的材料及/或掺杂物。
可形成不同的接触,以促进鳍式场效晶体管装置400及/或鳍式场效晶体管装置500的操作。举例而言,层间介电层可形成于基板210上方(在一些实施方式中,第二层间介电层形成于第一层间介电层上方(在栅极替换制程时形成)。接触接着可形成于层间介电层内。举例而言,接触与栅极结构410(特定地来说,栅极电极)电性耦合,接触电性耦合至源极区404,并且接触电性耦合至漏极区406。接触包括导电性的材料,例如金属。金属包括铝、铝合金(例如铝/硅/铜合金)、铜、铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物、其他合适的金属或其组合。金属硅化物可以包括硅化镍、硅化钴、硅化钨、硅化钽、硅化钛、硅化铂、硅化铒、硅化钯或其组合。在一些实施方式中,层间介电层与接触(举例而言,延伸穿过层间介电层)是设置于基板210上方的多层互连特征(multilayer interconnect,MLI)的一部分。多层互连特征电性耦合鳍式场效晶体管装置400及/或鳍式场效晶体管装置500的多个部件,使得多个部件可按照鳍式场效晶体管装置400及/或鳍式场效晶体管装置500的设计要求所规范的功能进行操作。多层互连特征可包括金属层与层间介电层的一组合,配置以形成垂直互连特征及/或水平互连特征,垂直互连特征的例子如接触及/或通孔,水平互连特征的例子如线。各种导电性特征包括的材料与接触相似。在一些实施方式中,使用镶嵌制程(damascene process)及/或双镶嵌制程(dual damascene process)以形成基于铜的多层互连结构。
本揭示提供多个不同实施方式。例示性方法包括形成鳍片结构、形成掺杂非晶层于鳍片结构的一部分上方,以及执行撞击式布植制程,以将掺杂物从掺杂非晶层驱入鳍片结构的一部分内,从而形成掺杂特征。掺杂非晶层包括鳍片结构的非结晶态的材料。在一些实施方式中,鳍片结构包括结晶材料,而且撞击式布植制程转化掺杂非晶层的至少一部分成为结晶材料(举例而言,通过结晶化掺杂非晶层的一部分),使得掺杂非晶层的一部分成为鳍片结构的一部分。在一些实施方式中,鳍片结构包括硅,而掺杂非晶层包括非晶硅,使得撞击式布植制程结晶化非晶硅的至少一部分。
在一些实施方式中,方法进一步包括执行鳍片修整制程,以在形成掺杂非晶层之前,缩减鳍片结构的尺寸。在一些实施方式中,掺杂非晶层的厚度约等于在鳍片修整制程中所移除的鳍片结构的厚度,并且撞击式布植制程使得掺杂非晶层成为鳍片结构的一部分。在一些实施方式中,撞击式布植制程使得掺杂非晶层的一部分成为鳍片结构的一部分。在这些实施方式中,方法进一步包括氧化掺杂非晶层的残留部分,并移除掺杂非晶层的氧化部分。
在一些实施方式中,掺杂非晶层形成于鳍片结构的源极区与漏极区上,而掺杂特征是设置于鳍片结构的源极区与漏极区中的轻度掺杂源极与漏极(LDD)区域。在一些实施方式中,方法进一步包括在形成掺杂非晶层之前,形成栅极结构于鳍片结构的通道区上。在一些实施方式中,撞击式布植制程使用氩离子,以将掺杂物从掺杂非晶层驱入鳍片结构的一部分内。
另一个例示性方法包括形成鳍片结构,形成掺杂非晶硅层于鳍片结构的一部分上,以及执行撞击式布植制程,以将掺杂物从掺杂非晶硅层驱入鳍片结构的一部分内,从而形成掺杂特征。在一些实施方式中,掺杂非晶硅层包覆鳍片结构的源极区与漏极区,而掺杂特征是设置于源极区与漏极区内的轻度掺杂源极与漏极(LDD)区域。在一些实施方式中,形成掺杂非晶硅层,包括磊晶生长半导体材料于鳍片结构的一部分上方,其中当磊晶生长时,原位掺杂半导体材料。
在一些实施方式中,方法进一步包括在形成掺杂非晶硅层之前,缩减鳍片结构的宽度。在这些实施方式中,掺杂非晶层的厚度是约相等于缩减鳍片结构的宽度时所移除鳍片结构的量,而撞击式布植制程导致掺杂非晶硅层成为鳍片结构的一部分。在一些实施方式中,撞击式布植制程导致掺杂非晶硅层的一部分成为鳍片结构的一部分。在这些实施方式中,方法进一步包括氧化掺杂非晶硅层的残留部分,并移除掺杂非晶硅层的氧化部分。
另一例示性方法包括形成鳍片结构。鳍片结构包括定义于源极区与漏极区之间的通道区。鳍片结构进一步包括具有结晶结构的材料。方法进一步包括形成栅极结构于鳍片结构的通道区上。方法进一步包括形成掺杂层于鳍片结构的源极区与漏极区上。掺杂层包括具有非结晶结构的材料。方法进一步包括执行撞击式布植制程,以将掺杂物从掺杂层驱入鳍片结构的源极区与漏极区内,其中撞击式布植制程转化掺杂层的一部分成为具有结晶结构的材料。
在一些实施方式中,撞击式布植制程形成轻度掺杂源极与漏极(LDD)区域,其中鳍片结构的掺杂部分与掺杂层的至少一部分形成轻度掺杂源极与漏极(LDD)区域。在一些实施方式中,方法进一步包括在形成掺杂层之前,执行鳍片修整制程,以缩减鳍片结构的源极区与漏极区的尺寸,其中所有的掺杂层成为轻度掺杂源极与漏极(LDD)区域的一部分。在一些实施方式中,方法进一步包括移除掺杂层的任何残留部分。在一些实施方式中,鳍片结构包括硅,而掺杂层包括非晶硅,使得撞击式布植制程结晶化非晶硅的至少一部分。
前述内容概述若干实施例或实例的特征,以使得熟悉此项技术者可较佳理解本揭示的态样。熟悉此项技术者应理解,他们可容易地使用本揭示作为设计或修改用于执行本文所介绍的实施方式相同目的及/或达成相同优点的其他制程及结构的基础。熟悉此项技术者应同时认识到,这些的等效构造并不偏离本揭示的精神及范畴,且其可在不偏离本揭示的精神及范畴的情况下于本文中进行各种变化、替换及变更。

Claims (1)

1.一种鳍式场效晶体管的共形传递掺杂方法,其特征在于,包含:
形成一鳍片结构;
形成一掺杂非晶层于该鳍片结构的一部分的上方;以及
执行一撞击式布植制程,以将一掺杂物从该掺杂非晶层驱入该鳍片结构的该部分内,从而形成一掺杂特征。
CN201711008269.4A 2016-12-15 2017-10-25 鳍式场效晶体管装置及其共形传递掺杂方法 Active CN108231587B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434694P 2016-12-15 2016-12-15
US62/434,694 2016-12-15
US15/653,720 US10276691B2 (en) 2016-12-15 2017-07-19 Conformal transfer doping method for fin-like field effect transistor
US15/653,720 2017-07-19

Publications (2)

Publication Number Publication Date
CN108231587A true CN108231587A (zh) 2018-06-29
CN108231587B CN108231587B (zh) 2022-10-21

Family

ID=62556920

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711008269.4A Active CN108231587B (zh) 2016-12-15 2017-10-25 鳍式场效晶体管装置及其共形传递掺杂方法

Country Status (3)

Country Link
US (3) US10276691B2 (zh)
KR (1) KR102041354B1 (zh)
CN (1) CN108231587B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111211173A (zh) * 2020-01-15 2020-05-29 电子科技大学 一种具有高介电常数薄膜的鳍状横向功率mosfet器件

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276691B2 (en) * 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor
TWI746673B (zh) 2016-12-15 2021-11-21 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置及其共形傳遞摻雜方法
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10600885B2 (en) * 2018-08-20 2020-03-24 International Business Machines Corporation Vertical fin field effect transistor devices with self-aligned source and drain junctions
US11069812B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11195810B2 (en) 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
US11520228B2 (en) * 2020-09-03 2022-12-06 International Business Machines Corporation Mass fabrication-compatible processing of semiconductor metasurfaces
KR20220107847A (ko) * 2021-01-26 2022-08-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050161739A1 (en) * 2004-01-28 2005-07-28 International Business Machines Corporation Method and structure to create multiple device widths in finfet technology in both bulk and soi
US20110195555A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET Doping
US20120112248A1 (en) * 2010-11-08 2012-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
TW201246299A (en) * 2011-03-31 2012-11-16 Tokyo Electron Ltd Method for forming ultra-shallow doping regions by solid phase diffusion
CN104813453A (zh) * 2012-12-20 2015-07-29 英特尔公司 薄晶体管元件的从硅到硅锗的转换
TW201530622A (zh) * 2014-01-24 2015-08-01 Varian Semiconductor Equipment 處理半導體裝置的方法以及形成鰭式場效應電晶體的方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
JP2012049286A (ja) * 2010-08-26 2012-03-08 Sen Corp 半導体装置の製造方法
US8062963B1 (en) 2010-10-08 2011-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having an epitaxy region
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8598025B2 (en) 2010-11-15 2013-12-03 Varian Semiconductor Equipment Associates, Inc. Doping of planar or three-dimensional structures at elevated temperatures
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
JP2013026345A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置の製造方法
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
KR101823105B1 (ko) * 2012-03-19 2018-01-30 삼성전자주식회사 전계 효과 트랜지스터의 형성 방법
JP2014053452A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法
KR102047097B1 (ko) * 2012-10-25 2019-11-20 삼성전자주식회사 반도체 장치의 제조방법
US9299564B2 (en) * 2012-12-12 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Ion implant for defect control
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9159824B2 (en) * 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9831345B2 (en) * 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8846508B1 (en) * 2013-07-15 2014-09-30 Varian Semiconductor Equipment Associates, Inc. Method of implanting high aspect ratio features
US9105559B2 (en) 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
WO2015080945A1 (en) 2013-11-27 2015-06-04 Applied Materials, Inc. Method to reduce k value of dielectric layer for advanced finfet formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
EP3084807A4 (en) * 2013-12-19 2017-08-16 Intel Corporation Method of forming a wrap-around contact on a semicondcutor device
US10403626B2 (en) 2014-03-24 2019-09-03 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
EP3123517A4 (en) * 2014-03-24 2017-11-29 Intel Corporation Techniques for achieving multiple transistor fin dimensions on a single die
US9275905B1 (en) 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure
KR102427596B1 (ko) * 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10276691B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050161739A1 (en) * 2004-01-28 2005-07-28 International Business Machines Corporation Method and structure to create multiple device widths in finfet technology in both bulk and soi
US20110195555A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET Doping
US20120112248A1 (en) * 2010-11-08 2012-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
TW201246299A (en) * 2011-03-31 2012-11-16 Tokyo Electron Ltd Method for forming ultra-shallow doping regions by solid phase diffusion
CN104813453A (zh) * 2012-12-20 2015-07-29 英特尔公司 薄晶体管元件的从硅到硅锗的转换
TW201530622A (zh) * 2014-01-24 2015-08-01 Varian Semiconductor Equipment 處理半導體裝置的方法以及形成鰭式場效應電晶體的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111211173A (zh) * 2020-01-15 2020-05-29 电子科技大学 一种具有高介电常数薄膜的鳍状横向功率mosfet器件

Also Published As

Publication number Publication date
US10276691B2 (en) 2019-04-30
KR20180069698A (ko) 2018-06-25
US11476352B2 (en) 2022-10-18
CN108231587B (zh) 2022-10-21
US10868151B2 (en) 2020-12-15
KR102041354B1 (ko) 2019-11-07
US20180175175A1 (en) 2018-06-21
US20190252527A1 (en) 2019-08-15
US20210134985A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
TWI711075B (zh) 半導體結構及其製造方法
CN108231587A (zh) 鳍式场效晶体管的共形传递掺杂方法
US11302825B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
US10510762B2 (en) Source and drain formation technique for fin-like field effect transistor
CN106504990B (zh) 半导体装置以及制造鳍式场效晶体管装置的方法
US11018245B2 (en) Epitaxial structures for fin-like field effect transistors
CN104681615B (zh) 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
TWI723111B (zh) 半導體裝置及其製造方法
CN109817580A (zh) 栅极电介质保留的栅极切割工艺
CN103715258A (zh) 用于半导体器件的源极/漏极堆叠件压力源
US12068371B2 (en) Method for FinFET LDD doping
US11862713B2 (en) Conformal transfer doping method for fin-like field effect transistor
TW202121586A (zh) 半導體結構
US20240274666A1 (en) Forming Source And Drain Features In Semiconductor Devices
TW202137488A (zh) 積體電路裝置
US20220320307A1 (en) Source and Drain Enginering Process for Multigate Devices
TW202420504A (zh) 半導體結構及其形成方法
TW202333238A (zh) 半導體裝置及其製造方法
CN117012722A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant