CN108227393A - 目标最佳化方法 - Google Patents

目标最佳化方法 Download PDF

Info

Publication number
CN108227393A
CN108227393A CN201710982998.3A CN201710982998A CN108227393A CN 108227393 A CN108227393 A CN 108227393A CN 201710982998 A CN201710982998 A CN 201710982998A CN 108227393 A CN108227393 A CN 108227393A
Authority
CN
China
Prior art keywords
target
pattern
mask
feature
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710982998.3A
Other languages
English (en)
Other versions
CN108227393B (zh
Inventor
张世明
谢艮轩
周硕彦
刘如淦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108227393A publication Critical patent/CN108227393A/zh
Application granted granted Critical
Publication of CN108227393B publication Critical patent/CN108227393B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种目标最佳化方法,包括接收一集成电路设计布局的一目标图案,其中目标图案具有一对应的目标轮廓;修改该目标图案,其中该修改后的目标图案具有一对应的修改目标轮廓;以及当该修改后的目标图案达到一限制层对该目标图案所定义的功能性时,产生一最佳化的目标图案。该最佳化方法还可包括,根据该限制层定义出一成本函数,该成本函数根据该目标图案的轮廓与该限制层之间的空间关系来定义。

Description

目标最佳化方法
技术领域
本发明实施例有关于一种最佳化方法,特别涉及一种目标最佳化方法。
背景技术
当集成电路技术不停地朝更小特征尺寸(如32纳米、28纳米、20纳米,或是更低)发展时,集成电路(IC)设计变得更有挑战性。举例而言,在制造集成电路装置时,集成电路装置的性能受到光刻可转印性能力(lithography printability capability)的严重影响,光刻可转印性能力表示如何使形成在一晶圆上的一最终晶圆图案对应一集成电路设计布局所定义的一目标图案。许多方法已被使用,用以增加光刻可转印性,如光学邻近校正(optical proximity correction;OPC)、掩模邻近校正(mask proximity correction;MPC)、逆光刻技术(inverse lithography technology;ILT)以及源掩模最佳化(sourceoptimization;SMO),其中这些方法着重于最佳化一掩模,该掩模用以投射一影像,该影像对应该晶圆上的该目标图案。虽然上述方法足以应付它们预期的目的,但上述方法无法完全地满足各方面。
发明内容
本发明实施例提供一种目标最佳化方法,包括接收一集成电路设计布局的一目标图案,其中目标图案具有一对应的目标轮廓;修改该目标图案,其中该修改后的目标图案具有一对应的修改目标轮廓;以及当该修改后的目标图案达到一限制层对该目标图案所定义的功能性时,产生一最佳化的目标图案。
附图说明
图1为本发明实施例的一集成电路制造系统以及与集成电路制造系统相关的一集成电路制造流程的简单示意图。
图2为本发明实施例的光学光刻系统的简单方块示意图,光学光刻系统由图1的集成电路制造系统所执行。
图3为本发明实施例的OPC基础运算光刻工艺的简单方块示意图,OPC基础运算光刻工艺由图1的集成电路制造系统所执行。
图4为本发明实施例的不同目标切割轮廓的示意图,不同的目标切割轮廓可制造出相同集成电路特征。
图5为本发明实施例的运算光刻方法的流程图,其可由图1的集成电路制造系统所执行,用以达到目标最佳化。
图6A为本发明实施例的用以制造集成电路特征(如图4的集成电路特征)的集成电路设计布局所定义的一目标图案的示意图。
图6B为本发明实施例的一限制层的简单方块示意图,该限制层取决于一目标图案,如图6A所示的目标图案。
图6C为本发明实施例的根据一限制层的一成本函数的简单示意图,成本函数由该目标图案所定义,其中该限制层可为图6B所示的限制层。
图7为本发明实施例的执行一运算光刻方法的一目标图案的示意图,该运算光刻方法为图5的运算光刻方法。
图8为本发明实施例的执行一运算光刻方法的一目标图案的其它实施例,该运算光刻方法为图5的运算光刻方法。
图9为根据本发明实施例的一目标最佳化系统的示意图,该目标最佳化系统可能由图1所示的集成电路制造系统所执行。
附图标记说明:
10:集成电路制造系统;
15:设计室;
20:掩模室;
25:集成电路制造厂;
35:集成电路设计布局;
40:掩模数据准备;
45:掩模生产;
50:投射晶圆影像;
55:显影后检视机台;
80:最终晶圆图案;
30:集成电路;
62:发光源模块;
64:发光光学模块;
66:掩模模块;
68:投射光学模块;
70:目标模块;
150:目标特征;
152:目标轮廓;
154、158:预测轮廓;
156:OPC修改目标特征;
200:半导体装置;
202:晶圆材料层;
204:基底;
210、212、214、212A、212B:导线;
220A、220B:切割部分;
A~C:切割特征;
d:距离;
300:运算光刻方法;
310、320、330、340、350、360、370:步骤;
314:切割图案;
315A、315B、315C:目标线特征;
316:目标切割特征;
318:目标切割轮廓;
312:栅极图案;
324:禁止区域;
326、426:功能区段;
328、428:非功能区段;
s:线终止空隙;
F:禁止区域;
P、P1、PF、X、U、X1、XF、Y1、YF:位置;
334、335、430、434:成本函数;
336、337、432、436:成本曲线;
400:注入图案;
420、530:限制层;
410:目标注入特征;
412、534:降落区域;
422、532:禁止区域;
A:区域;
500:接点图案;
510:晶圆材料层;
512:栅极特征;
514:源/漏极特征;
520:目标接点特征;
522:目标接点轮廓;
540、542、54:目标接点轮廓;
600:目标最佳化系统;
602:处理器;
620:设计布局模块;
625:掩模拼接模块;
630:集成电路数据收集模块;
640:模型模块;
645:制造模块;
635:制造数据库;
604:系统存储器;
606:主要储存装置;
608:通信模块。
具体实施方式
以下的说明提供了许多不同的实施例或范例,用以说明本发明实施例的不同特征。以下所叙述的元件及配的特定范例用以简单地说明本公开。这些只是范例,并非用以限制本发明。举例而言,举例来说,形成第一特征于第二特征之上的叙述包含两者直接接触,或两者之间隔有其他额外特征而非直接接触。此外,本发明的多种实例将重复标号和/或符号以简化并清楚说明。不同实施例中具有相同标号的元件并不必然具有相同的对应关系和/或排列。
图1为本发明实施例的一集成电路制造系统10以及与集成电路制造系统10相关的一集成电路制造流程的简单示意图。集成电路制造系统10包括许多实体,如一设计室(或设计团队)15、一掩模室20以及一集成电路制造者25(如一集成电路制造厂),在制造一集成电路装置30的设计、发展及制造循环和/或服务中,这些实体相互影响。实体通过一通信网络彼此连接,该通信网络可能为一单一网络或是不同的网络,如一内部网络和/或网际网络,并且该通信网络可能包括有线和/或无线通信通道。每一实体可能与另一实体相互动作,并且可能提供服务给其它实体和/或接收其它实体的服务。设计室15、掩模室20及集成电路制造厂25的至少一者可能被单一大型公司所拥有,并且可能共存于一共同设施中及使用共同的资源。
设计室15产生一集成电路设计布局35(也称为一集成电路设计图案)。根据打算制造的一集成电路产品的规范,集成电路设计布局35包括许多电路图案(由几何形状所表示),用以制造出一集成电路产品。这些电路图案相对于几何图案,其中几何图案形成于许多材料层(如金属层、介电层和/或半导体层)之中,这些材料层整合在一起,用以形成该集成电路产品(如集成电路装置30)的集成电路特征(元件)。举例而言,集成电路设计布局35的一部分包括许多集成电路特征。这些集成电路特征将会形成在一基底(举例而言,一硅基底)之中,和/或形成在该基底的许多材料层中。这些集成电路特征可包括一主动区、一栅极特征(如一栅极介电质和/或一栅极电极)、一源/漏极特征、一内部连接特征、一接合垫特征(bonding pad feature)、其它集成电路特征,或上述特征的组合。在一些实施例中,其它有益的特征也可设置于集成电路设计布局35中,用以提供成像效果、增进工艺,和/或辨识信息。一几何邻近校正(geometry proximity correction;GPC)处理可能根据与集成电路工艺相关的环境影响产生该有益的特征,其中该几何邻近校正处理相似于一光学邻近校正(OPC)处理,都是用以最佳化掩模图案,另外,集成电路工艺包括蚀刻负载效应(etchingloading effects)、图案化负载效应(patterning loading effects)和/或化学机械研磨法(CMP)处理效应。设计室15进行一适当的设计工艺,用以形成集成电路设计布局35。该设计工艺可能包括逻辑设计、物理的设计、位置及走线或上述组合。集成电路设计布局35由至少一数据文件所表示,该数据文件具有该电路图案(几何图案)的信息。举例而言,集成电路设计布局35一图形数据库系统(Graphic Database System)文件格式(如GDS或GDSII)表示。在另一可能实施例中,集成电路设计布局35以其它合适的文件格式表示,如开放的图稿系统交换标准(open artwork system interchange standard)文件格式(如OASIS或OAS)。
掩模室20利用集成电路设计布局35制造出一或多个掩模,根据集成电路设计布局35,该掩模用以制造集成电路装置30的许多层。一掩模(也称为一光掩模(photomask)或一光罩(reticle)作为一图案化基底,并应用于一光刻工艺中,用以图案化一晶圆,如一半导体晶圆。掩模室20执行掩模数据准备40,集成电路设计布局35被转换成可被一掩模写入器所改写的一格式,用以产生一掩模。举例而言,一掩模写入器将集成电路设计布局35转换成一机械可读取指令。掩模数据准备40产生一掩模图案(掩模布局),其对应于集成电路设计布局35所定义的一目标图案。通过将集成电路设计布局35的该目标图案分裂成许多掩模特征(掩模区域),便可产生该掩模图案,这些掩模特征适用于一掩模制造光刻工艺。根据许多因素(如集成电路特征几何图形、图案密度差异和/或临界尺寸(critical dimension;CD)差异)执行该分裂工艺,并且根据该掩模写入器为了转印掩模图案所使用的方法,定义出该掩模特征。在一些实施例中,通过将集成电路设计布局35分裂成多边形(如矩形或不规则四边形),便可产生一掩模图案,利用每一多边形产生曝光信息。每一多边形的曝光信息可定义出一曝光剂量、一曝光时间和/或一曝光形状。如下所述,掩模数据准备40可进行许多工艺,用以最佳化掩模图案,故可利用一光刻工艺在一晶圆(经常被称为一最终晶圆图案)上形成一最终图案,该光刻工艺所使用的掩模从该掩模图案所制造出来的,用以增加解析度及精准度。
掩模室20也执行掩模生产45,即根据掩模数据准备40所产生的该掩模图案,制造出一掩模。在一些实施例中,在掩模生产45时,该掩模图案会被修改,用以遵守一特定掩模写入器和/或掩模制造器的要求。在掩模生产45时,一掩模制造工艺会被执行,用以根据该掩模图案(掩模布局)制造出一掩模。该掩模包括一掩模基底以及一图案化掩模层,该图案化掩模层包括一最终(实际)掩模图案。该最终掩模图案(如一掩模轮廓)对应该掩模图案(其对于集成电路设计布局35所提供的该目标图案)。在一些实施例中,该集成电路设计布局35为一二元掩模。在此例中,根据一可能实施方式,一不透明材料层(如铬)会被形成在一透光掩模基底(如一熔凝石英基底(fused quartz substrate)或是氟化钙(CaF2))上,并且根据该掩模图案,图案化该不透明材料层,用以形成具有不透明区域及透明区域的掩模。在一些实施例中,该掩模为一相位偏移掩模(phase shift mask;PSM),其可增加成像的解析度及品质,如一衰减式相位偏移掩模(attenuated PSM)或是一交替式相位偏移掩模(alternating PSM)。一可能实施例中,一相移材料层(如硅化钼(MoSi)或是硅氧化物)被形成在一透明掩模基底上(如一熔凝石英基底或是氟化钙),并且该相移材料层会被图案化,用以形成一掩模,该掩模具有局部透射区、相移区域以及透射区,这些区域用以形成该掩模图案。在另一可能实施例中,该相移材料层为该透明掩模基底的一部分,因此,该掩模图案形成在该透明掩模基底中。在一些实施例中,该掩模为一极紫外线(extreme ultraviolet;EUV)掩模。在一可能实施例中,一反射层形成在一基底上,并且一吸收层(如一氮化硼钽(TaBN))被图案化,用以形成一掩模,该掩模具有反射区,其可构成该掩模图案。该基底包括一低热膨胀材料(low thermal expansion material;LTEM),如熔凝石英、掺杂二氧化钛的二氧化硅(TiO2 doped SiO2)或是其它合适的低热膨胀材料。该反射层可包括多层结构,其设置于该基底上,多层结构包括复数多个膜对(film pairs),如钼/硅(Mo/Si)膜对,或其他配置成用以反射极紫外线的适合的材料膜对。该极紫外线掩模可能还包括一覆盖层(如钌(Ru)),其设置于该反射层及该吸收层之间。另外,其它反射层可形成在该反射层之上,并被图案化,用以形成一极紫外线相位偏移掩模。
掩模生产45可执行许多光刻工艺,用以制造出该掩模。举例而言,该掩模制造工艺包括一光刻工艺,其形成一图案化能量感测光阻层于一掩模材料层之上,并将该图案化光阻层所定义的图案转印到该掩模图案层中。该掩模材料层为一吸收层、一相移材料层、一不透明材料层、部分的掩模基底、和/或其它合适的掩模材料层。在一些实施例中,形成该图案化能量感测光阻层的步骤包括,形成一能量感测光阻层于该掩模材料层之上(如利用一旋转涂布工艺(spin coating process))、执行一带电粒子束曝光工艺(charged particlebeam exposure process)以及执行一显影工艺。该带电粒子束曝光工艺利用一带电粒子束(如一电子束(electron-beam)或是一离子束(ion beam))直接地”写入”一图案于该能量感测光阻层中。由于该能量感测光阻层感测带电粒子束,故在显影工艺时,根据该能量感测光阻层的特性以及显影工艺所使用的显影液(developing solution)的特性,该能量感测光阻层被曝光的部分将产生化学变化,并且该能量感测光阻层被曝光(或未曝光)的部分会被分解。在显影后,该图案化光阻层包括一光阻图案,其对应于该掩模图案。接着,利用任何适合的工艺,将该光阻图案转印到该掩模材料层中,使得一最终掩模图案形成在该掩模材料层中。举例而言,该掩模制造工艺可包括,执行一蚀刻工艺,用以移除该掩模材料层的部分,其中在该蚀刻工艺中,该蚀刻工艺将该能量感测光阻层作为一蚀刻掩模。在蚀刻工艺后,该光刻工艺可包括,从该掩模材料层上移除该能量感测光阻层,如利用一光阻剥离工艺(resist stripping process)。
集成电路制造厂25(如一半导体制造厂)利用掩模室20所产生的该掩模(或多掩模),制造出集成电路装置30。举例而言,执行一晶圆工艺工艺,其利用一掩模于一晶圆(如一半导体晶圆)上制造出集成电路30的一部分。在一些实施例中,集成电路制造厂25利用不同的掩模,多次执行晶圆制造工艺,用以完成集成电路装置30的工艺。根据集成电路的制造方法,在进行晶圆制造工艺时,该晶圆可包括许多材料层和/或集成电路特征(如掺杂特征、栅极特征和/或内部连接特征)。该晶圆制造工艺包括一光刻工艺,其利用一掩模(如掩模室20所制造的掩模)形成一图案化光阻层于一晶圆材料层之上,并将定义在该图案化光阻层之中的图案印在该晶圆材料层之中。该晶圆材料层为一介电层、一半导体层、一导电层、一基底的部分、和/或其它适合的晶圆材料层。
形成该图案化光阻层的步骤包括,形成一光阻层于该晶圆材料层之上(如利用旋转涂布)、执行一预曝后烤工艺(pre-exposure baking process)、利用该掩模进行一曝光工艺(包括掩模对准)、进行一曝后烤工艺(post-exposure baking process)以及一显影工艺。在曝光工艺中,利用一发光源,将该光阻层曝露在一放射能量(如紫外线(UV)光、深紫外线(deep UV)光或极紫外线(EUV)光)中,根据该掩模的一最终掩模图案和/或掩模类型(如二元掩模、相位偏移掩模、或是极紫外线掩模),该掩模将阻挡、传送、和/或反射能量至该光阻层,使得一影像被投射在光阻层上,该光阻层对应该最终掩模图案。该影像作为一投射晶圆影像50。由于光阻层感测放射能量,故在显影工艺中,根据该光阻层的特性及显影工艺所用的显影液的特性,该光阻层被照射到的部分会产生化学变化,并且该光阻层被照射到(或未被照射到)的部分会被分解掉。在显影后,该图案化光阻层包括一光阻图案,其对应该最终掩模图案。一显影后检视机台(after development inspection;ADI)55撷取与光阻图案有关的信息,如临界尺寸均匀性(CD uniformity;CDU)信息、覆盖信息(overlayinformation)、和/或缺陷信息(defect information)。
图2为本发明的光学光刻系统60的简单方块示意图,光学光刻系统60用以将一掩模的图案成像于一工作部件(workpiece)上,其可由集成电路制造厂25所进行。该工作部件包括一晶圆、一掩模或是其它用以形成集成电路图案和/或集成电路特征的基材。在一些实施例中,该工件为一晶圆,其具有一辐射感应层(如一光阻层),该辐射感应层设置于该晶圆之上。在图2中,光学光刻系统60包括一发光源模块62、一发光光学模块64、一掩模模块66、一投射光学模块68以及一目标模块70。发光源模块62包括一辐射源,其产生并发射辐射(光线),其具有合适的波长,如UV辐射、DUV辐射、EUV辐射、其它合适的辐射、或上述组合。发光光学模块64收集、引导并控制该辐射,使得该辐射被投射至一掩模上。掩模模块66包括一掩模平台,用以承载该掩模并控制该掩模的位置。根据该掩模的一最终掩模图案以及用以制造该掩模的掩模技术,该掩模传送、吸收、和/或反射该辐射,因而投射图案化辐射。投射光学模块68收集、引导并控制来自掩模模块66的图案化辐射至目标模块70的工作部件,使得该掩模(对于该最终掩模图案)的一影像被投射在工作部件上。目标模块70可包括一晶圆平台,用以承载该工作部分并控制该工作部件的位置。在一些实施例中,目标模块70控制工作部件的位置,使得该掩模的影像以一重复方式(repetitive fashion)被扫描至该工作部件上,也可利用其它可能的扫描方式将掩模的影像扫描至工作部件上。在一些实施例中,发光光学模块64包括许多光学元件,用以收集、控制并成形(shaping)该辐射于该掩模上,并且投射光学模块68包括许多光学元件用以收集、控制并成形该图案化的辐射至该工作部件上。上述光学元件包括折射元件、反射元件、磁元件、电磁元件、静电元件、和/或其它用以收集、控制并成形该辐射的元件。图2已简单地描述本发明。其它特征可被加在光学光刻系统60中,并且以下所叙述的特征也可被置换、修改或删除,以作为光学光刻系统60的其它实施例。
请参考图1,由集成电路制造厂25所进行的该晶圆制造工艺利用许多方法将该图案化光阻层所定义的该光阻图案转移至该晶圆材料层,使得一最终晶圆图案80形成在该晶圆材料层之中。举例而言,该晶圆制造工艺可包括执行一注入工艺,用以形成许多掺杂区/特征于该晶圆材料层中,在该注入工艺中,该图案化光阻层作为一注入掩模。在另一可能实施例中,该晶圆制造工艺可包括,执行一蚀刻工艺,其移除该晶圆材料层的部分,在该蚀刻工艺中,该蚀刻工艺将该图案化光阻层作为一蚀刻掩模。在该注入工艺或该蚀刻工艺后,该光刻工艺包括,从该晶圆移除该图案化光阻层,举例而言,利用一光阻剥除工艺。在另一实施例中,该晶圆制造工艺可包括,执行一沉积工艺,其利用一介电材料、一半导体材料或是一导电材料填满该图案化光阻层(通过移除该光阻层的部分,以形成该图案化光阻层)的开口。在此例中,移除该图案化光阻层的步骤移除该晶圆材料层的一部分,其中该图案化光阻层的一负像(negative image)图案化该部分。一蚀刻后检视机台(after etchinspection;AEI)可撷取与形成在该晶圆材料层的一最终晶圆图案80有关的信息,如CDU。
理想上,最终晶圆图案80符合该集成电路设计布局35所定义的该目标图案。然而,由于许多与该掩模及该晶圆制造工艺有关的因素,使得在该掩模上的该最终掩模图案从该掩模图案就被改变(该掩模图案由掩模设计布局35所定义的该目标图案所产生),造成形成在该晶圆上的最终晶圆图案80从该目标图案就被改变。举例而言,掩模写入模糊(如电子束写入模糊(e-beam writing blur)和/或其它掩模制造因素造成该最终掩模图案与该掩模图案之间的差异,其造成最终晶圆图案80与该目标图案之间的差异。许多与该晶圆制造工艺有关的因素(如光阻模糊、掩模差异、投射影像的解析度、酸扩散(acid diffusion)、蚀刻偏差、和/或其它晶圆制造因素)更会恶化最终晶圆图案80与该目标图案之间的差异。
运算光刻(computational lithography)用以增加并最佳化该掩模制造工艺及该晶圆制造工艺,因而最小化该最终晶圆图案80与该目标图案之间的差异。运算光刻可为任何用以计算精准物理模型(computationally-intensive physical models)的技术和/或经验法则(empirical models),用以预测并最佳化集成电路特征图案化步骤,该物理模型和/或该经验法则考虑会影响光刻工艺结果的现象,如成像效应(如绕射(diffraction)和/或干扰)和/或光阻化学性质。集成电路制造系统10用以产生最理想的设定予发光光学模块64(一般称为光源最佳化)、掩模模块66(一般称为掩模最佳化)、投射光学模块68(一般称为波前工程(wave front engineering)、和/或目标模块70(一般称为目标最佳化)。举例而言,集成电路制造系统10可执行源掩模最佳化(SMO),用以产生一掩模(由掩模室20所产生)的一最终掩模图案的形状以及用以照射该掩模(由发光光学模块64所提供)的辐射的形状,该辐射的形状用以最佳化投射晶圆影像50。在另一可能实施例中,集成电路制造系统10可执行波前工程(wave front engineering),用以设定投射光学模块68,投射光学模块68用以最佳化投射晶圆影像50。在其它实施例中,集成电路制造系统10可执行光学邻近校正(OPC)、掩模规则检查(mask rule check;MRC)、光刻工艺检查(lithographic processcheck;LPC)和/或逆光刻技术(ILT),用以产生一掩模(由掩模室20所产生)的一最终掩模图案的形状,其最佳化投射晶圆影像50。
请参考图3,图3为本发明实施例中根据OPC的运算光刻工艺的简单方块示意图,其中运算光刻工艺可在掩模数据准备40进行。举例而言,一目标图案包括打算形成在一晶圆上的一目标特征150。通过曝光一掩模,一目标轮廓152定义出转印(成像)在该晶圆上的一图案的形状,该掩模具有目标特征150,目标特征150作为理想运算光刻工艺条件。即使在理想运算光刻工艺条件下,光刻限制避免目标特征150在转印到该晶圆时具有正常角度的隅角(corners),故目标轮廓152会呈现出圆的隅角。一预测轮廓154表示通过曝光具有目标特征150的掩模而转印在该晶圆上的图案,此时的目标特征150具有预测光刻工艺条件。在一些实施例中,掩模数据准备40执行一LPC工艺,用以产生预测轮廓154。LPC工艺根据一被产生的掩模图案并利用许多LPC模型(如规则),模拟一掩模的一影像,LPC模型可能取自于实际工艺数据(actual processing data)或是历史工艺数据(historic processing data),实际(历史)工艺数据与制造集成电路装置的集成电路制造厂25有关。工艺数据可包括,与集成电路制造循环的许多工艺有关的工艺条件、与用以制造该集成电路的工具有关的条件、和/或其它工艺观点。LPC工艺考虑许多因素,如影像对比度、焦点深度、光罩误差灵敏度(mask error sensitivity,MEEF)、其他适当的因素、或是上述因素的结合。
如图3所述,由于目标轮廓152与预测轮廓154会有很大的改变,故OPC用以改变该目标图案,直到一预测轮廓符合目标轮廓152,因而产生经OPC修改后的目标图案。举例而言,目标特征150被转换成一个OPC修改目标特征156,用以补偿造成上述改变的光刻工艺条件,以产生一预测轮廓158,其符合目标轮廓152,并可改变光刻可转印性(lithographyprintability)。预测轮廓158表示通过曝光一掩模转印在该晶圆上的图案,该掩模具有OPC修改目标特征156,其作为预设影像工艺条件。在一些实施例中,一LPC工艺产生预测轮廓158。OPC利用光刻增强技术,补偿影像失真及错误,如来自绕射、干扰或其它工艺影响的错误。OPC可加入辅助特征(assistant features;AFs),如散射条(scattering bar)、截线(serif)、和/或锤头状(hammerhead)至该目标图案(此处为目标特征150)中,或是根据光学模型(如模型基础的光学邻近校正(model-based OPC))和/或光学规则(如规则基础的光学邻近校正(rule-based OPC))修改(如修改尺寸、形状和/或位置)目标图案,使得在光刻工艺后,一最终晶圆图案增加解析度及准确度。在一些实施例中,OPC会扭曲该目标图案,用以平衡影像密度,举例而言,移除该目标图案的部分,用以降低过曝光区域及加入辅助特征至该目标图案中,用以加强缺乏曝光(under-exposed)区域。在一些实施例中,辅助特征补偿了几何图形周围的不同密度所引起的线宽差异。在一些实施例中,辅助特征可预防线端短路(line end shortening)和/或线端圆化(line end rounding)。OPC还可校正电子束邻近效应和/或执行其它最佳化特征。
在一些实施例中,该OPC工艺及该LPC工艺反复地进行,通过多次反复进行(如修改及模拟),用以产生OPC修改目标特征156。在一些实施例中,目标轮廓152表示复数多个目标点,其由一OPC工艺沿一周长所产生,该周长定义目标特征150(如目标轮廓152),并且预测轮廓154表示定义出目标特征150的周长,该目标特征150通过一LPC工艺所产生。在此例中,目标轮廓152可能执行一切割工艺(dissection process),目标轮廓152被切割成复数多个分离区段,这些分离区段由复数多个切割点(也称为缝合点(stitching points))所定义。然后,至少一目标点可能分配予每一区段,使得目标点沿目标轮廓152分隔开来。在一些实施例中,该OPC工艺修改目标特征150,直到目标轮廓152的目标点之间的距离落于一适当的距离范围内。在其它实施例中,在执行OPC后,掩模数据准备40还执行一MRC工艺,用以检查该掩模图案,MRC工艺使用了掩模生成规则(mask creation rules)。掩模生成规则可定义几何图案限制和/或连接限制,用以避免许多可在集成电路制造工艺中引起变化的问题和/或失败。图3已简单地叙述本发明的实施例,额外特征可加入以OPC为基础的运算光刻工艺中,并且以下的部分特征可被置换、修改或删除,用以达到OPC基础运算光刻工艺的其它实施例。
OPC为基础的运算光刻技术及运算光刻技术通常用于最小化一成本函数,其定义一预测轮廓与一目标轮廓之间的变化,如一边缘位置误差(edge placement error;EPE)。该成本函数还可使上述变化与许多不利因素相关联,这些不利因素由与光刻工艺有关的工艺限制所引起,如MRC不利和/或一AF转印不利。虽然可通过上述技术产生一最佳化的目标图案,但该目标轮廓的形状可消极地影响工艺窗口,其中该最佳化的目标图案建立了一预测轮廓,其与目标轮廓之间具有最小变化。举例而言,在一般条件下,定义出具有尖隅角的一目标轮廓会造成低对比度和/或低焦点深度。然而,并非一目标轮廓的每一区段都具有一清楚目标。举例而言,该目标轮廓的形状改变(如以圆隅角取代尖隅角)时,仍可达到该目标图案所需的功能性。
请参考图4,图4为本发明实施例的不同切割特征,其可应用于一切割图案中,使得一半导体装置200具有相同的集成电路特征。半导体装置200包括一晶圆,其具有许多晶圆材料层(如介电层、半导体层和/或导体层),这些晶圆材料层在集成电路工艺步骤中,形成集成电路特征(如掺杂特征、栅极特征和/或内部连接特征)。举例而言,在一可能实施例中,晶圆包括一晶圆材料层202。晶圆材料层202覆盖在一基底204之上,如一硅基底。晶圆材料层202包括许多导线,如210、212及214。在一些实施例中,导线210、212及214用以形成半导体装置200的栅极特征,如半导体装置200的多晶硅栅极特征。半导体装置200可能为一集成电路晶片的一部分,一系统整合晶片(SOC)、或是系统整合晶片的一部分。系统整合晶片可能具有许多被动装置及主动装置,如电阻、电容、电感、保险丝、二极管、P通道场效晶体管(PFETs)、N通道场效晶体管(NFETs)、金属氧化半导体场效晶体管(MOSFETs)、互补式氧化半导体(CMOS)晶体管、高压晶体管、高频晶体管、其它适合的元件或是上述元整的组合。通过图4可简单且清楚地呈现本发明实施例的特征,半导体装置200可加入额外特征,并且以下所公开的部分特征可能被置换、修改或是删除,以呈现出半导体装置200的不同实施方式。
在图4中,执行一光刻工艺,用以移除部分的晶圆材料层202。举例而言,光刻工艺移除了导线212的一部分,因而形成一最终晶圆图案,最终晶圆图案包括导线212A、212B、210及214。导线212A与212B彼此独立,并相距一距离d。在一些实施例中,光刻工艺包括利用一切割掩模于晶圆材料层202上形成一图案化光阻层(也称为一切割层),并将图案化光阻层所定义的一切割图案转印至晶圆材料层202。形成该图案化光阻层的步骤可包括在晶圆材料层202上形成(如利用旋涂法)一光阻层、进行一预曝后烤工艺、利用该切割掩模进行一曝光工艺、进行一曝后烤工艺以及进行一显影工艺。在曝光工艺时,光阻层会被曝露在一光源的放射能量中,根据切割掩模的切割图案和/或掩模类型(如二元掩模、相位偏移掩模、或是极紫外线掩模)该切割掩模会遮盖、传送和/或反射放射能量至光阻层,使得一影像被投射在对应于该切割图案(称为被投射的切割影像)的光阻层上。由于光阻层感应放射能量,故在显影工艺下,根据显影工艺所使用的光阻层的特性以及显影工艺的一显影方法的特性,被照射的光阻层将发生化学变化,并且被照射的部分(或未被照射的部分)会被分解。在显影后,被图案化的光阻层会包括对应于该切割图案的一光阻图案。在一些实施例中,利用一蚀刻工艺将光阻图案转印至晶圆材料层202。举例而言,光阻图案(切割图案)曝露出部分的导线212,因此,在蚀刻工艺中,该图案化的光阻层被作为一蚀刻掩模,用以移除导线212被曝露的部分,故形成导线212A及212B。
对于形成在该图案化的光阻层(切割层)上的一切割特征而言,只有特定部分(边缘)会定义出该最终晶圆图案,因此,即使切割特征具有不同的轮廓,该图案化的光阻层也可得到相同的最终晶圆图案。举例而言,虽然切割特征A具有近似平行四边形的轮廓、切割特征B具有近似椭圆形的轮廓、切割特征C具有近似矩形的轮廓,但用以切割导线212的切割特征A~C的轮廓的部分都相同,不论图案化的光阻层具有切割特征A、B或C,都可得到图4所示的最终晶圆图案。特别而言,切割特征A的轮廓具有切割部分220A、切割特征B的轮廓包括切割区段222A、切割特征C的轮廓包括切割区段224A,切割区段220A、222A及224A在该图案化光阻层上,定义出一切割边缘,切割区段220A、222A及224A的每一者定义一线终止空隙s,线终止空隙s对应于距离d(举例而言,线终止空隙s大约等于距离d)。相较之下,切割特征A~C也各自具有区段220B、222B以及224B。在切割工艺中,区段220B、222B以及224B较不重要。因此,考虑到图案化的光阻层的功能性,只要切割区段220A、222A以及224A达到相同的功能(也就是定义出导线212需被移除的部分,用以得到线终止空隙s),切割特征A~C都相同。因此,只要切割特征的轮廓达到图案化光阻层(也就是图4所示的切割晶圆材料层202)所需的功能,图案化光阻层的切割特征的轮廓可为任何形状。
请参考图5,图5为根据本发明实施例的运算光刻方法300的流程图,运算光刻方法300可由图1的IC制造系统10所执行。如下所述,当运算光刻方法最佳化一目标图案的轮廓时,运算光刻方法300着重于该目标图案的功能性和/或该目标图案的一目标轮廓,而不是仅针对该目标轮廓的形状。举例而言,当一预测的目标晶圆轮廓(对应于一修改后的目标图案)满足一集成电路设计布局所定义的目标图案的功能性时,运算光刻方法300产生一最佳化的目标图案。设计室15、掩模室20和/或集成电路制造厂25可执行运算光刻方法300。在一些实施例中,设计室15、掩模室20和/或集成电路制造厂25共同执行运算光刻方法300。在一些实施例中,运算光刻方法300可由IC制造系统10的掩模数据预备40所执行。图5简单地呈现本发明实施例的发明概念,使得本发明实施例可轻易地被了解。运算光刻方法300可加入额外特征,并且以下所叙述的特征可被置换、改或是排除,以得到运算光刻方法300的其它实施方式。
在方块310中,运算光刻方法300包括接收一集成电路设计布局,如集成电路设计布局35,其定义出一目标图案。集成电路设计布局由具有该目标图案信息的一或多个数据文件所表示。举例而言,集成电路设计布局由一GDSII文件格式或是一OASIS文件格式所表示。为了便于以下讨论,该目标图案包括一栅极掩模的一栅极图案以及一切割掩模的一切割图案。举例而言,该栅极掩模用以形成多个栅极线,而该切割掩模用以移除部分的栅极线,因而形成一集成电路装置(如集成电路装置30)的栅极特征。在此,图6A为本发明的一栅极图案312的简单的示意图,该栅极图案312与一切割图案314有关,其均可由集成电路设计布局所定义,用以制造出图4所述的栅极特征。举例而言,整合栅极图案312及切割图案314,用以形成一最终晶圆图案,该最终晶圆图案包括导线315A、315B、315C。导线315B的两线段彼此独立,并相隔距离d。具有栅极图案312的一栅极掩模可用以形成晶圆材料层202于基底204之上,并且具有切割图案314的一切割掩模可用以图案化晶圆材料层202。在图6A中,切割图案314覆盖于栅极图案312上,栅极图案312包括目标线特征315A、315B以及315C。目标线特征315A对应于导线210。目标线特征315B对应于导线212。目标线特征315C对应于导线214。切割图案314包括一目标切割特征316,其相似于图4的切割特征B。切割图案314相对于栅极图案312,使得当目标切割特征316形成在一光阻层时,目标切割特征316的一目标切割轮廓318覆盖并曝露导线212需被移除的部分(如利用一蚀刻工艺)。目标切割轮廓(边界)318定义出目标切割特征316的形状,利用既定的理想光刻工艺条件,曝露切割掩模,用以将目标切割特征316的形状转印(成像)在光阻层上。然而,如上所述,光刻限制常改变目标图案,因而影响一最终晶圆图案,使得目标切割轮廓318改变晶圆上的一最终切割轮廓。
请参考图5,运算光刻方法300修改该目标图案,用以产生一最佳化的目标轮廓,当该最佳化的目标轮廓转印(成像)于光阻层上时,可得到最佳化的最终晶圆图案。在方块320中,运算光刻方法100根据该目标图案的功能性,定义一限定层。该限制层至少定义出对应于该目标图案的一区域、范围、线段、边缘和/或特征,该目标图案包括一最佳化的目标轮廓的形状。该最佳化的目标轮廓通过运算光刻方法300所产生。因此,该最佳化的目标轮廓维持集成电路设计布局所定义的该目标图案的功能性。一般而言,该目标图案的功能性关于处理特性、电气特性、空间特性、物理特性和/或集成电路设计布局所定义的目标图案的其它特性。
在一些实施例中,该限制层取决于将被处理的一晶圆材料层(此处称为一处理层(processing layer)N的工艺限制、先前已处理的晶圆材料层(如处理层N-1、N-2、N-3…等)和/或接下来欲处理的晶圆材料层(如处理层N+1、N+2、N+3…等),该晶圆材料层用以形成该目标图案。举例而言,限制层包括该目标图案的一禁止区域(范围),该禁止区域定义该目标图案中不能被最佳化目标轮廓所曝露、接触和/或覆盖的区域,用以确保集成电路装置呈现所需的特性。在其它实施例中,限制层包括该目标图案的一降落区域(范围)。降落区域(landing region)定义该目标图案中必需被最佳化目标轮廓所曝露、接触、覆盖和/或重叠的区域,用以确保集成电路装置呈现所需的特性。
在一些实施例中,限制层取决于该目标轮廓的一功能区段(functional segment)和/或一非功能区段(non-functional segment)。该功能区段限定该目标轮廓的一部分,该部分与该目标图案的功能性有关。该非功能区段限定该目标轮廓的一部分,该部分与该目标图案的功能性无关。举例而言,当该目标轮廓的一部分限定了一端至端空隙(end-to-endspacing)、一临界尺寸、一间距和/或该目标图案的其它特性时,则该部分作为一功能区段。然后,在修改该目标图案时,当运算光刻方法300比较该最佳化的目标轮廓与该目标轮廓时,运算光刻方法300着重于功能性线段,用以确保该最佳化的目标轮廓的符合该目标轮廓的功能区段。在一些实施例中,该最佳化目标轮廓的形状配合该目标轮廓的功能区段,并位于一预设的临界范围内。在一些实施例中,在所有的工艺条件下,功能区段上的最佳化的目标轮廓的形状最小化该最佳化的目标轮廓与该目标轮廓之间的边缘位置。
图6B为本发明实施例的限制层322的简单示意图,限制层322可由一目标图案所定义,如图6A所述的切割图案314。当运算光刻方法300修改切割图案314时,限制层322限定一对应于目标切割特征316的最佳化切割轮廓的形状。举例而言,在切割图案314里的目标切割特征316的最佳化切割轮廓的形状受到了先前制造的晶圆材料层202(也就是处理层N-1)的限制,其中先前制造的晶圆材料层202根据栅极图案312所制造出来的。尤其是,在切割工艺中,为了确保不会移除导线210及214,限制层322根据栅极图案312,定义了切割图案314的禁止区域324。禁止区域324定义在切割图案314中不能被目标切割特征316的最佳化切割轮廓所曝露、接触和/或覆盖的区域,用以确保最终晶圆图案的实体布局(physicallayout)达到图4所述的栅极特征。在本实施例中,一禁止区域324覆盖目标线特征315A,并且一禁止区域324覆盖目标线特征315C,因此,不论目标切割特征316具有什么样的最佳化切割轮廓,在工艺中,都不会接触和/或曝露出导线210或214。
限制层312还将目标切割轮廓318切分为功能区段326以及非功能区段328。功能区段326包括部分的目标切割轮廓318,其限定了切割图案314的功能性,也就是一切割工艺的一切割掩模的功能,其可移除部分的导线212,故导线212A与212B相隔距离d。举例而言,在本实施例中,功能区段326定义了目标切割特征316的切割边缘,切割边缘覆盖了目标线特征315B需被移除的部分,功能区段326也定义了目标线特征315B剩余部分之间的线终止空隙s。因此,在功能区段326的最佳化切割轮廓的形状对该目标图案的功能性较为重要,故运算光刻方法300在功能区段326处,最小化最佳化切割轮廓的形状及目标切割轮廓318的形状之间的差异。在一些实施例中,最佳化目标切割特征将会最小化在功能区段326处的最佳化切割轮廓与目标切割轮廓318之间的EPE,用以确保线终止空隙s大约等于集成电路设计布局所定义的距离d。相反地,非功能区段328包括目标切割轮廓318中不会限定切割图案314的功能性的部分。举例而言,在本实施例中,非功能区段328定义了目标切割特征316的边缘,该边缘并不会覆盖到目标线特征315B,故非功能区段328并不会对切割工艺造成限制。在非功能区段328的最佳化切割轮廓的形状因而不重要了,故运算光刻方法300可以允许在非功能区段328处的最佳化切割轮廓与目标切割轮廓318之间的形状差异。因此,只要确保非功能区段328不侵入禁止区域324,目标切割特征316的最佳化切割轮廓可为任何形状,并提供显著的最佳化弹性。
请参考图5,在方块330中,运算光刻方法300根据限制层定义出一成本函数。成本函数与一空间关系有关,该空间关系指限制层及目标图案的轮廓之间的空间关系,并且该空间关定义出一不利成本(penalty cost)。在一些实施例中,根据该限制层的一空间关系定义出该不利成本,该空间关系与该目标图案的轮廓有关,当目标图案的轮廓接近限制层时,不利成本可能增加或减少。在一些实施例中,根据禁止区域的一空间关系定义出该不利成本,禁止区域的一空间关系与目标图案的轮廓有关,随着目标图案的轮廓接近禁止区域,不利成本会增加。在其它实施例中,该不利成本根据降落区域的一空间关系来定义,该降落区域的空间关系与目标图案的轮廓有关,随着目标图案的轮廓接近降落区域,不利成本随的增加。在一些实施例中,该不利成本根据目标图案的轮廓与目标图案的目标轮廓于功能区段处的空间关系来定义。成本函数考虑许多工艺条件的变化,如形成在一晶圆上的修改后的目标图案,该修改后的目标图案具有修改后的目标轮廓。在一些实施例中,工艺条件与所使用的一掩模有关,该掩模包括该修改后的目标图案,该修改后的目标图案用以在晶圆上形成一影像,该影像对应该修改后的目标图案。如此的工艺情况可包括离焦情况、曝光情况(能量偏差)和/或掩模情况(掩模偏置),这些条件与晶圆上的修改目标图案有关。运算光刻方法300可利用成本函数,产生一修改后的目标图案,其具有一修改后的目标轮廓(也就是本质轮廓),其达到目标图案的功能,而不是只修改目标图案,使得修改后的目标轮廓符合该目标轮廓。
回到切割图案314及对应的限制层322,一成本函数与禁止区域324及切割图案314的轮廓之间的一空间关系有关。图6C为本发明实施例的一成本函数的简单示意图,该成本函数根据一限制层的一目标图案所定义出的,如图6B所述的限制层322的切割图案314。在图6C中,切割图案314的放大部分332定义目标切割特征316的轮廓相对于禁止区域324的一者(称为禁止区域F)的位置P(尤其是非功能区段328的一者)。成本函数334和/或335根据目标切割特征316的轮廓相对于禁止区域F的位置P所定义。当位置P没有侵入禁止区域F时,成本函数334和/或335最小化不利成本。成本函数334建立了一成本曲线336。当目标切割特征316的轮廓侵入禁止区域F时,成本曲线336以指数方式增加。当目标切割特征316的轮廓大于一位置P1时,不利成本为一最小值(如0)。当位置P从位置P1转变成一位置PF时,不利成本逐渐增加。因此,定义出禁止区域F的边界。当位置P小于位置PF时,表示目标切割特征316的轮廓将会曝露出禁止区域F,故不利成本将显著的增加。相反地,成本函数335建立了一成本曲线337。当位置P大于位置PF时,不利成本为一最小值。当位置P小于位置PF时,不利成本为一极大值。因此,在修改切割图案314时,通过估测一修改后的目标切割轮廓相对于限制层322的位置,运算光刻方法300可分配该修改后的目标切割轮廓成本,该修改后的目标切割轮廓对应于修改切割图案。
请回到图5,在方块340与350中,运算光刻方法300利用一掩模,模拟一光刻工艺,用以修改目标图案并产生一修改后的目标轮廓,该掩模具有该修改后的目标图案。通过增加和/或减少目标图案的特征,用以对该目标图案进行许多调整,并产生该修改后的目标图案,举例而言,重新调整目标图案的尺寸、形状和/或目标图案的特征,但并非用以限制本发明实施例。接着回到切割图案314,运算光刻方法300修改切割图案314,然后产生目标切割特征316的一修改目标切割轮廓,该修改目标切割轮廓定义出目标切割特征316的形状,其中利用一具有该修改的切割图案的切割掩模,并根据许多光刻工艺条件,便可将目标切割特征316的形状转印(成像)在一光阻层上。在一些实施例中,根据许多工艺条件,可定义出许多工艺窗口。这些工艺条件与转印该修改后的目标图案(此处称为变更切割图案314)有关,如离焦条件、曝光条件(能量偏差)和/或掩模条件(掩模偏置),但并非用以限制本发明实施例。在一些实施例中,工艺窗口定义了离焦情况的范围、能量偏差和/或掩模偏置工艺窗口,使得对应于修改后的目标轮廓的特征具有合适的光刻品质。在一些实施例中,方块340修改该目标图案(一般称为一目标最佳化技术),该修改可随着OPC(以边缘为主的掩模最佳化技术)、ILT(以像素为主的掩模最佳化技术)、SMO(一光源掩模最佳化技术)、其它光刻最佳化技术(如最佳化技术,其着重最佳化工具参数和/或工艺参数,除了该掩模和/或该光源以外,如薄膜叠层叠层、瞳孔形状、曝光剂量、其它参数或其组合)或其它组合一起执行。
在方块360中,运算光刻方法300判断该修改后的目标轮廓是否最小化具有适当的工艺窗口的成本函数。在一些实施例中,运算光刻方法300估测修改后的目标轮廓与限制层之间的一空间关系,用以判断该修改后的目标轮廓的一不利成本。回到切割图案314,成本函数334定义该不利成本(图6C),该目标切割特征316的修改后目标切割轮廓与限制层322之间的一空间关系被估测出来,举例而言,以便判断该修改后的目标切割轮廓的一位置的不利成本。在本实施例中,当一位置大于与禁止区域F有关的位置(position)P1时,该修改后的目标切割轮廓最小化该不利成本。在一些实施例中,运算光刻方法300还估测该修改后的目标轮廓是否符合限制层所定义的功能区段中的目标轮廓。举例而言,该修改后的目标切割轮廓与目标切割轮廓318的功能区段326相比较,用以确保该修改后的目标轮廓的一位置的任何变化都能落于适当的范围内(如在一适当的EPE范围内)。在一些实施例中,运算光刻方法300还估测与该修改后的目标轮廓有关的一工艺窗口。举例而言,当一修改后的目标轮廓最小化成本函数时,估测工艺条件与最小化的成本函数,用以判断工艺条件是否位于所定义的工艺窗口中。工艺窗口通常可定义光刻成像品质对于工艺上的变量(如与成像在一晶圆上的修改后目标图案所相关的焦点变量、能量变量和/或掩模制造变量)的公差。较大的工艺窗口对于工艺变量,提供了较大的公差,因而得到较高制造良率。焦点变量(如z方向的漂移)会对一投射的晶圆影像进行离焦,造成临界尺寸(critical dimension;CD)的变量和/或在投射的晶圆影像的影像对比损耗。在一些实施例中,关于离焦的临界尺寸变量表示焦点漂移公差,一焦点深度(DoF)可被定义成一最大焦点漂移范围,其中临界尺寸可被控制在一预设的公差(如在一预设的百分比)中。能量变量(如曝光剂量的变量)会引起临界尺寸的变量和/或在投射的晶圆影像中的线边缘的粗糙。在一些实施例中,关于曝光剂量变量(如能量漂移)的临界尺寸变量包括能量偏差的公差,与临界尺寸一致性(CDU)、线宽粗糙度(LWR)、和/或EL(如影像对比、影像对数斜率(image log slope;ILS)、正规化影像对数斜率(normalized ILS;NILS)相关的多种量测技术可用以估测上述的公差。掩模制造变量(如一掩模图案与一掩模工艺所引起的该修改后的目标轮廓间的变量)也可在投射的晶圆影像上引起临界尺寸变量。在一些实施例中,关于掩模的临界尺寸变量表示掩模偏置公差,可利用许多度量法(如掩模增强错误因子(mask enhanced error factor;MEEF))估测上述公差。在其它实施例中,关于其它工艺变量,可估测工艺窗口,工艺变量包括像差灵敏度(举例而言,关于Zernike像差系数漂移的临界尺寸的变量)、薄膜叠层灵敏度(举例而言,关于折射系数(n)漂移、吸收系数(k)漂移和/或薄膜叠层的厚度(t)漂移和/或薄膜叠层的一材料层的临界尺寸的变量)和/或其它工艺变量度量法。
若该修改后的目标轮廓最小化该成本函数,使其具有适当的工艺窗口时(如适当的离焦情况、能量偏差情况及掩模偏置情况)和/或其它符合该限制层的其它因素),运算光刻方法300进行方块370。在方块370中,根据该修改后的目标图案,制造出一掩模,该修改后的目标图案对应于该修改后的目标轮廓。可利用任何适当的掩模工艺,制造出该掩模,用以在一晶圆制造的过程中,当具有该修改后的目标图案的掩模被曝光时,一投射晶圆影像(如投射晶圆影像50)的形状对应该修改后的目标轮廓。在一些实施例中,运算光刻方法300确认许多变更目标图案对应不同的变更目标轮廓,用以在适当的工艺窗口下最小化该成本函数。在一可能实施例中,运算光刻方法300可还包括选择具有较佳的工艺窗口的修改目标轮廓,根据该修改后的目标图案制造出该掩模,其中该修改后的目标图案对应具有该较佳的工艺窗口的该修改后的目标轮廓。在一些实施例中,该较佳的工艺窗口确保工艺情况的变化不会造成一集成电路装置的失败,如缺陷和/或电性能的不良漂移。以切割图案314为例,运算光刻方法300可产生多个修改后的目标图案,其对应于目标切割特征316的轮廓,目标切割特征316相似于图4的切割特征A和C。运算光刻方法300选择修改后的目标图案中的一者。在一些实施例中,最佳的工艺窗口取决于该修改后的目标轮廓所代表的一图案化层的工艺条件和/或特性。举例而言,该修改后的目标轮廓对应一第一图案层(如IC装置的一主动层),该第一图案层没有拓扑关系,故其具有较小的焦点深度(DoF),因而具有较大的离焦情况。在一可能实施例中,该修改后的目标轮廓对应一栅极图案层。该栅极图案层可能要求最小的能量偏差(因而要求高对比度、ILD和/或NILS,用以最小化临界尺寸的变量),用以最小化临界尺寸的变量,其可引起电特性的漂移,如速度。在一些实施例中,工艺窗口根据不同的度量法(metrics)来最佳化。举例而言,可决定不同的度量法(如DoF、ILD和/或MEEF),通过选择工艺窗口,用以在一或多个方位和/或位置上,最小化和/或最大化许多度量法。在一可能实施例中,在产生该修改后的目标轮廓时,可能将干扰(如离焦、能量偏差和/或掩模偏差)引进光刻模拟中。相较于正常情况,这些干扰会被最小化,用以确保该修改后的目标轮廓符合限制层的规定。
如果修改后的目标轮廓无法最小化成本函数时,则修改后的目标轮廓无法实现适当的工艺窗口(举例而言,适当的离焦情况、能量偏差情况及掩模偏置情况)和/或修改后的目标轮廓也可能会不符合限制层的规定,故运算光刻方法300回到方块340并且运算光刻方法300可反复修改目标图案,直到产生一最佳化的修改目标轮廓。
请参考图7,图7为本发明实施例的一注入图案400的一简单示意图,注入图案400经过了运算光刻方法,如运算光刻方法300,用以产生一最佳化的注入目标特征。注入图案400包括一目标注入特征410,其具有一目标注入轮廓412,其定义了一注入工艺中在一晶圆材料层内将被植入杂质于该晶圆材料层的一个区域。通过制造具有注入图案400的一注入掩模,便可在一集成电路装置的晶圆材料层中形成掺杂特征,如主动区,其定义出一基底的装置区。为了确保所需的功能性,在目标注入特征410的一最佳化目标注入轮廓完整地覆盖晶圆材料层不需被注入的区域的同时,目标注入特征410的最佳目标注入轮廓也会完全地曝露出晶圆材料层需要被注入的区域。图7为了简单地表现出本发明实施例。在其它的实施例中,可加入其它特征于注入图案400中,并且以下所述的部分特征可被置换、更改、或是删除,以得到注入图案400的其它实施例。
在图7中,进行运算光刻方法300时,根据注入图案400的功能性定义一限制层420。举例而言,限制层420包括禁止区域422、降落区域412、功能区段426以及非功能区段428。禁止区域422定义出注入图案400的区域,该些区域是目标注入特征410的最佳目标注入轮廓所不能接触和/或覆盖,用以确保目标注入特征410完全地覆盖晶圆材料层内不需被掺杂的区域。降落区域412定义了注入图案400的区域,该些区域需被目标注入特征410的最佳化目标注入轮廓所覆盖,用以确保注入特征410完全地曝露出该晶圆材料层内需要被掺杂的区域。功能区段426包括目标注入特征410的边缘,这些边缘定义将形成在晶圆材料层的一掺杂特征的尺寸,如一集成电路设计布局所定义的掺杂特征的临界尺寸。相反地,非功能区段428并不会影响注入图案400的功能性,故非功能区段428可被变更,而不会实质地影响集成电路装置的功能特点。
然后,根据限制层420便可定义出一成本函数。在图7中,不利成本1与一空间关系有关,该空间关系为目标注入特征410的轮廓和多个禁止区域422中的一者之间(称为禁止区域A)的空间关系。另外,不利成本2也与一空间关系有关,其中该空间关系为目标注入特征410的轮廓和多个降落区域中的一者(称为降落区域A)之间的空间关系。在一些实施例中,根据目标注入轮廓412相对于禁止区域A的一位置X定义出一成本函数430。当位置X没有侵入禁止区域A时,成本函数430最小化不利成本1。举例而言,成本函数430建立了一成本曲线432,随着目标注入特征410的轮廓侵入禁止区域A,成本曲线432上升。举例而言,当目标注入特征410的轮廓的位置X小于一位置X1时,不利成本1为一最小值。随着位置X从位置X1转换成位置XF时,不利成本1逐渐增加,其中位置XF标示出禁止区域A的边界。当位置X大于位置XF时,不利成本1明显上升,表示目标注入特征410的轮廓将会曝露出禁止区域A。在一些实施例中,根据目标注入轮廓412相对于降落区域A的位置Y定义出一成本函数434,当位置Y没有完全地覆盖降落区域A时,成本函数434最小化不利成本2。举例而言,成本函数434建立一成本曲线436,随着目标注入特征410的轮廓侵入了降落区域A,成本曲线436上升。举例而言,当目标注入特征410的位置Y小于一位置Y1时,不利成本2为一最小值,随着位置Y从位置Y1转变成位置YF时,不利成本2逐渐增加,其中位置YF代表降落区域A的边界,并且当位置Y大于位置YX时,不利成本2明显增加,这表示目标注入特征410的轮廓不会曝露出降落区域A。
然后,运算光刻方法300可以执行方块340~360,并更改注入图案400,直到产生一修改后的目标注入轮廓,其最小化不利成本1和/或不利成本2,同时具有合适的工艺窗口。举例而言,运算光刻方法反复修改注入图案400,直到产生一最佳化的目标注入轮廓440,其最小化不利成本1和/或不利成本2,并同时有助于适当的工艺窗口。在图7中,最佳化的目标注入轮廓440完全地覆盖禁止区域422,并完全地曝露出降落区域424。最佳化的注入轮廓440也包括边界,其显示出目标注入轮廓412于功能区段的最小变化,确保利用注入图案400所制造出的一注入掩模在修改时,会符合临界尺寸和/或覆盖IC设计布局所要求的掺杂特征。
请参考图8,图8为本发明实施例的一接点图案500的简单示意图,接点图案500(contact pattern)经了运算光刻方法,如运算光刻方法300,用以产生一最佳化的接点特征。接点图案500覆盖一晶圆材料层510。晶圆材料层510具有栅极特征512以及源/漏极特征514。接点图案500包括一目标接点特征520,其具有一目标接点轮廓522,目标接点轮廓522定义接点区域。具有接点图案500的一接点掩模用以形成晶圆材料层510上面的一接点,该接点用以连接栅极特征512和/或源/漏极特征514。在一些实施例中,该接点为一毗连式接点(butted contact;BCT),用以连接一静态随机存取存储器(SRAM)的栅极与源/漏极。为了确保所需的功能性,目标接点特征520的一最佳化的目标接点轮廓充分地曝露栅极特征512及源/漏极特征514的区域,用以达到电性连接,当没有曝露出栅极特征512及源/漏极特征514的区域时,会降低装置性能(举例而言,造成电性短路)。图8简单地表示出本发明实施例的特征。接点图案500可加入额外特征,并且在接点图案500的其它可能实施例中,以下所述的一些特征可被取代、更改或是删除。
在图8中,进行运算光刻方法300时,根据接点图案500的功能性可定义出一限制层530。举例而言,限制层530包括禁止区域532以及降落区域534。禁止区域532在接点图案500中定义出多个区域,其中目标接点特征520的最佳化目标接点轮廓并不会曝露出这些区域,用以确保目标接点特征520完全地覆盖晶圆材料层510中不打算作为电性连接的区域。降落区域534也在接点图案500中,定义出多个区域,其中目标接点特征520的最佳化目标接点轮廓必须曝露降落区域534所定义的区域,以确保目标接点特征520充分地曝露出晶圆材料层510中打算电性接点的区域。相较于其它实施例,限制层530并不会在目标接点特征520中,定义出任何功能区段。虽然没有画出,但运算光刻方法300接着根据限制层530产生一成本函数,其定义了第一不利成本以及第二不利成本,第一不利成本与禁止区域532与目标接点特征520的轮廓之间的一空间关系有关,第二不利成本与降落区域534和目标接点特征520的轮廓之间的一空间关系有关。运算光刻方法300可接着进行方块340~360,修改接点图案500,用以产生许多修改后的目标接点轮廓,其可在适合的工艺窗口下最小化成本函数。举例而言,在图8中,运算光刻方法300可产生不同的接点图案500,其对应一相似圆形的目标接点轮廓540、一相似椭圆形状的目标接点轮廓542以及一倾斜的相似椭圆形状的目标接点轮廓544,这些接点轮廓皆可最小化成本函数,同时达到适合的工艺窗口,并且不管栅极特征512与源/漏极特征514的形状为何,这些接点轮廓均可电性连接栅极特征512与源/漏极特征514。在一些实施例中,在方块370中,选择具有最佳工艺窗口的一合适的接点图案500,其对应修改后的目标接点轮廓(如540、542或544),用以产生一掩模。
请参考图9,图9为根据本发明实施例的一目标最佳化系统600的简单方块图,其可由图1的IC制造系统10所执行。在一些实施例中,掩模室20实现一目标最佳化系统600,用以进行图1所述的掩模数据准备40。目标最佳化系统600包括硬件及软件,用以执行不同的操作和/或功能,用以产生上述的最佳化目标图案。在一些实施例中,一运算光刻工艺(如图5的运算光刻工艺300)可能被写成软件指令,并在目标最佳化系统600上执行。图9已简单且清楚地描述本发明实施例的概念。可将额外特征加在目标最佳化系统600中,并且在其它实施例中,也可置换或删除目标最佳化系统600的其它特征。
目标最佳化系统600包括一处理器602,其耦接一系统存储器604、一主要储存装置606以及一通信模块608。系统存储器604提供具有非暂态电脑可读取储存媒体的处理器602,利用处理器602执行电脑指令。系统存储器604可能包括随机存取存储器(RAM)装置,如动态随机存取存储器(DRAM)、静态随机存取存储器(SDRAM)、固态记忆装置和/或其它种类的记忆装置。主要储存装置606用以储存电脑程序、指令及数据。主要储存装置606可能包括、硬盘、光盘、磁光盘、固态储存装置和/或其它主要储存装置。通信模块608用以在集成电路制造实体(如集成电路制造系统10的设计室15、掩模室20以及集成电路制造厂25)的许多不同元件间进行信息传输。在图9中,通信模块608允许目标最佳化系统600与一掩模制造系统(如电子束光刻系统)以及一晶圆制造系统(如图2的光光刻系统60)进行通信。通信模块608包括以太网络卡、802.11无线装置、蜂巢式数据无线电装置和/或是其它通信装置,用以协助具有集成电路制造实体的目标最佳化系统600的通信。
目标最佳化系统600还包括一集成电路设计布局模块620、一掩模拼接(maskfracturing)模块625一集成电路数据收集模块630、一集成电路制造数据库635、一目标最佳化模型模块640以及一目标最佳化制造模块645,用以完成一目标最佳化工艺(如运算光刻方法300)。在运作时,集成电路设计布局模块620接收一集成电路设计布局,其定义一目标图案(举例而言,来自设计室15)并准备该集成电路设计布局,以便进行一目标最佳化工艺。集成电路数据收集模块630用以收集、储存并维持集成电路制造数据,如来自掩模室20的掩模制造处理的数据以及来自集成电路制造厂25的晶圆制造处理的数据。集成电路制造数据可储存于集成电路制造数据库635中。在一些实施例中,集成电路数据收集模块330分析收集到的集成电路制造数据。在其它实施例中,可通过滤除低品质的集成电路制造数据(如不可靠的数据),分析收集到的集成电路制造数据和/或将制造数据合并成有用的统计的集成电路制造信息(如平均值)。在一些实施例中,收集的集成电路制造数据包括电子束模糊信息、光阻特性信息(如显影处理后的光阻图案的临界尺寸)、蚀刻偏差数据(如蚀刻处理后的晶圆的临界尺寸)和/或其它有用的集成电路制造数据,但并不限定于此。
目标最佳化模型模块640用以建立一限制层和/或根据该限制层的一成本函数。目标最佳化模型模块640可利用集成电路制造数据,产生该限制层,如集成电路制造数据库635所储存的数据。目标最佳化模型模块640可储存该限制层和/或成本函数于一目标最佳化数据库(未显示)中。在一些实施例中,目标最佳化模型模块640执行运算光刻方法300的许多操作,如上述的方块320~330。尤其目标最佳化模型模块640利用集成电路设计布局和/或收集到的集成电路制造数据,建立出该限制层。目标最佳化模块645利用目标最佳化模型进行一目标最佳化处理(如运算光刻方法300),其中目标最佳化模块645根据该限制层及成本函数最佳化目标图案。在一些实施例中,目标最佳化模块645执行运算光刻方法300的许多操作,如上述的方块340~360。在其它实施例中,掩模拼接模块625根据最佳化后的目标图案(对应一最佳化的目标轮廓)所定义的一掩模图案产生一掩模射图(mask shotmap),举例而言,通过制造该最佳化的目标图案以形成掩模区域(掩模多边形)。掩模射图定义出每一掩模区域的曝光信息,如曝光剂量。在另一可能实施例中,掩模拼接模块625可被省略,故目标最佳化模块645产生掩模射图,并供掩模室20使用。
上述目标最佳化方法用以增加光刻适性。在一可能实施例中,目标最佳化方法包括接收一集成电路设计布局的一目标图案,其中目标图案具有一对应的目标轮廓;修改目标图案,其中修改后的目标图案具有一对应的修改目标轮廓;以及当修改后的目标图案达到一限制层对目标图案所定义的功能性时,产生一最佳化的目标图案。目标最佳化方法可能还包括根据限制层定义出一成本函数,其中成本函数与目标图案的轮廓及限制层之间的一空间关系有关。在一些实施例中,目标最佳化方法还包括,根据最佳化的目标图案制造出一掩模。在其它实施例中,目标最佳化方法还包括利用掩模制造一晶圆。
在一些实施例中,当修改后的目标轮廓最小化成本函数时,便可产生最佳化的目标图案。在其它实施例中,当修改后的目标轮廓的位置接近限制层的一边界时,成本函数的不利成本将会增加。在一些实施例中,限制层包括目标图案的一禁止区域,其中禁止区域定义出在目标图案中不会被修改后的目标轮廓露出的部分。在其它实施例中,限制层包括目标图案的一降落区域,其中降落区域定义出在目标图案中需被修改后的目标轮廓露出的部分。在一些实施例中,限制层包括目标轮廓的一功能区段,其中功能区段限制目标图案的功能性,并且在产生最佳化的目标图案时,修改后的目标轮廓在功能区段符合目标轮廓。
在另一可能实施例中,目标最佳化方法包括接收一集成电路设计布局的一目标图案,其中目标图案具有一相应的目标轮廓;根据目标图案的一功能性定义一限制层;根据限制层定义一成本函数;修改目标图案,其中修改后的目标图案具有一对应修改的目标轮廓;以及在修改后的目标轮廓最小化成本函数时,产生一最佳化的目标图案。在一些实施例中,目标最佳化方法还包括模拟一光刻工艺,用以产生修改后的目标轮廓,其中光刻工艺利用具有修改后的目标图案的一掩模。在其它实施例中,成本函数与目标图案的轮廓和限制层之间的空间关系有关。在一些实施例中,定义限制层的方法包括定义目标图案的一禁止区域,其中禁止区域定义了目标图案中不会被修改后的目标轮廓露出的部分。在此例中,定义成本函数的步骤包括定义出目标轮廓与禁止区域的一空间关系,其中成本函数的不利成本会随着修改后的目标轮廓接近禁止区域的边界而随之增加。在其它实施例中,定义限制层的步骤包括定义该目标图案的一降落区域,降落区域定义出目标图案需要被修改后的目标轮廓露出的区域。在此例中,定义成本函数的步骤包括建立目标轮廓与降落区域之间的一空间关系,其中成本函数的不利成本随着修改后的目标轮廓接近降落区域的边界而随之增加。
在一可能实施例中,集成电路系统包括一处理器以及一通信模块。通信模块耦接处理器,并用以接收一目标图案的一集成电路设计布局。集成电路系统也包括非暂态电脑可读取储存媒体,其耦接处理器并包括多个指令,这些指令可由处理器所执行。这些指令的部分指令用以修改目标图案,其中修改后的目标图案具有一对应的修改目标轮廓。这些指令的部分指令用以在修改后的目标轮廓达到一限制层对目标图案所定义的功能性时,产生一最佳化的目标图案。在一些实施例中,这些指令的部分指令用以根据限制层定义出一成本函数,其中成本函数与一空间关系有关,而空间关系指目标图案的轮廓与限制层之间的空间关系。在其它实施例中,这些指令的部分用以定义出限制层,其中限制层包括目标图案的一禁止区域,禁止区域定义出目标图案不会被修改后的目标轮廓露出的区域。在一些实施例中,这些指令的部分用以反复修改目标图案,直到修改后的目标图案达到限制层所定义的目标图案的功能性。
上述实施例的特征有利于本技术领域中技术人员理解本发明实施例。本技术领域中技术人员应理解可采用本申请案作为基础,设计并变化其他工艺与结构以完成上述实施例的相同目的和/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明实施例的精神与范畴,并可在未脱离本发明实施例的精神与范畴的前提下进行改变、替换、或变动。

Claims (1)

1.一种目标最佳化方法,其特征在于,包括:
接收一集成电路设计布局的一目标图案,其中目标图案具有一对应的目标轮廓;
修改该目标图案,其中该修改后的目标图案具有一对应的修改目标轮廓;以及
当该修改后的目标图案达到一限制层对该目标图案所定义的功能性时,产生一最佳化的目标图案。
CN201710982998.3A 2016-12-14 2017-10-20 目标最佳化方法 Active CN108227393B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/379,084 2016-12-14
US15/379,084 US10083270B2 (en) 2016-12-14 2016-12-14 Target optimization method for improving lithography printability

Publications (2)

Publication Number Publication Date
CN108227393A true CN108227393A (zh) 2018-06-29
CN108227393B CN108227393B (zh) 2021-11-23

Family

ID=62490231

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710982998.3A Active CN108227393B (zh) 2016-12-14 2017-10-20 目标最佳化方法

Country Status (3)

Country Link
US (1) US10083270B2 (zh)
CN (1) CN108227393B (zh)
TW (1) TWI742184B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762216B (zh) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 測試半導體圖案的方法
JP2022523747A (ja) * 2019-01-30 2022-04-26 深▲せん▼晶源信息技術有限公司 図形画像結合最適化のフォトエッチングマスクの最適化方法、装置および電子装置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10527928B2 (en) * 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
KR20200044524A (ko) 2018-10-19 2020-04-29 삼성전자주식회사 라인 엔드 보이드 방지를 광 근접 보정 방법 및 이를 이용한 리소그래피 마스크 제조 방법
KR102595606B1 (ko) 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
US11092899B2 (en) * 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
JP7482910B2 (ja) * 2019-07-03 2024-05-14 エーエスエムエル ネザーランズ ビー.ブイ. 半導体製造プロセスにおいて堆積モデルを適用する方法
CN113239655A (zh) * 2020-05-21 2021-08-10 台湾积体电路制造股份有限公司 半导体电路的约束确定系统和方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0573281A2 (en) * 1992-06-02 1993-12-08 Fujitsu Limited Oblique illumination of a mask using a spatial filter with at least one extending parallel to the mask pattern
CN101656225A (zh) * 2008-08-22 2010-02-24 台湾积体电路制造股份有限公司 混合式多层光罩组及其制造方法
CN102193306A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7313508B2 (en) * 2002-12-27 2007-12-25 Lsi Corporation Process window compliant corrections of design layout
NL1036544A1 (nl) * 2008-02-21 2009-08-24 Asml Netherlands Bv A lithographic apparatus having a chuck with a visco-elastic damping layer.
US8146026B2 (en) 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
WO2012071574A2 (en) * 2010-11-24 2012-05-31 Visa International Service Association Unified online content manager apparatuses, methods, and systems
US9005852B2 (en) * 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8527918B2 (en) 2011-09-07 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based thermal design using dummy insertion for semiconductor devices
JP6000602B2 (ja) * 2012-03-30 2016-09-28 キヤノン株式会社 体検出方法及び物体検出装置
CN105659165A (zh) * 2013-10-25 2016-06-08 Asml荷兰有限公司 光刻设备、图案形成装置和光刻方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0573281A2 (en) * 1992-06-02 1993-12-08 Fujitsu Limited Oblique illumination of a mask using a spatial filter with at least one extending parallel to the mask pattern
CN101656225A (zh) * 2008-08-22 2010-02-24 台湾积体电路制造股份有限公司 混合式多层光罩组及其制造方法
CN102193306A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022523747A (ja) * 2019-01-30 2022-04-26 深▲せん▼晶源信息技術有限公司 図形画像結合最適化のフォトエッチングマスクの最適化方法、装置および電子装置
TWI762216B (zh) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 測試半導體圖案的方法

Also Published As

Publication number Publication date
TWI742184B (zh) 2021-10-11
US20180165397A1 (en) 2018-06-14
US10083270B2 (en) 2018-09-25
CN108227393B (zh) 2021-11-23
TW201821899A (zh) 2018-06-16

Similar Documents

Publication Publication Date Title
US10514612B2 (en) Method and system for overlay control
CN108227393A (zh) 目标最佳化方法
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
TWI475334B (zh) 微影裝置之整合及具多重圖案化製程之光罩最佳化製程
US10417376B2 (en) Source beam optimization method for improving lithography printability
CN109582995A (zh) 集成电路制造方法及其制造系统
US10520829B2 (en) Optical proximity correction methodology using underlying layer information
TWI448824B (zh) 執行分解用於雙面圖案化技術的圖案之方法、程式產品與裝置
CN108205600A (zh) 掩模优化方法
CN109755090B (zh) 制造系统、半导体工艺机台以及电弧放电保护方法
KR100725170B1 (ko) 포토마스크의 제작을 위한 시스템 및 방법
US11675958B2 (en) Lithography simulation method
TWI823616B (zh) 執行用於訓練機器學習模型以產生特性圖案之方法的非暫時性電腦可讀媒體
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
WO2020078762A1 (en) Methods for generating characteristic pattern and training machine learning model
TWI385546B (zh) 用以最佳化形成於基板上之設計的方法及程式產品
TW201702757A (zh) 使用源輻射之角分佈之多重取樣的微影模擬
CN112424694A (zh) 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
US20050138596A1 (en) Gradient method of mask edge correction
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
CN110088689A (zh) 用于图案保真度控制的方法与设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant