TW201821899A - 目標最佳化方法 - Google Patents

目標最佳化方法 Download PDF

Info

Publication number
TW201821899A
TW201821899A TW106136694A TW106136694A TW201821899A TW 201821899 A TW201821899 A TW 201821899A TW 106136694 A TW106136694 A TW 106136694A TW 106136694 A TW106136694 A TW 106136694A TW 201821899 A TW201821899 A TW 201821899A
Authority
TW
Taiwan
Prior art keywords
target
pattern
mask
integrated circuit
layer
Prior art date
Application number
TW106136694A
Other languages
English (en)
Other versions
TWI742184B (zh
Inventor
張世明
謝艮軒
周碩彥
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201821899A publication Critical patent/TW201821899A/zh
Application granted granted Critical
Publication of TWI742184B publication Critical patent/TWI742184B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

目標最佳化方法包括接收一積體電路設計佈局的一目標圖案,其中目標圖案具有一對應之目標輪廓;修改該目標圖案,其中該修改後的目標圖案具有一對應之修改目標輪廓;以及當該修改後的目標圖案達到一限制層對該目標圖案所定義的功能性時,產生一最佳化的目標圖案。該最佳化方法更可包括,根據該限制層定義出一成本函數,該成本函數根據該目標圖案的輪廓與該限制層之間的空間關係來定義。

Description

目標最佳化方法
本發明實施例係有關於一種最佳化方法,特別是有關於一種用以最佳化目標圖案的方法。
當積體電路技術不停地朝更小特徵尺寸(如32奈米、28奈米、20奈米,或是更低)發展時,積體電路(IC)設計變得更有挑戰性。舉例而言,在製造積體電路裝置時,積體電路裝置的效能受到微影可轉印性能力(lithography printability capability)的嚴重影響,微影可轉印性能力係表示如何使形成在一晶圓上的一最終晶圓圖案對應一積體電路設計佈局所定義的一目標圖案。許多方法已被使用,用以增加微影可轉印性,如光學鄰近校正(optical proximity correction;OPC)、遮罩鄰近校正(mask proximity correction;MPC)、逆微影技術(inverse lithography technology;ILT)以及源遮罩最佳化(source optimization;SMO),其中該等方法著重於最佳化一遮罩,該遮罩用以投射一影像,該影像對應該晶圓上的該目標圖案。雖然上述方法足以應付它們預期的目的,但上述方法無法完全地滿足各方面。
本發明實施例提供一種目標最佳化方法,包括接收一積體電路設計佈局的一目標圖案,其中目標圖案具有一對 應之目標輪廓;修改該目標圖案,其中該修改後的目標圖案具有一對應之修改目標輪廓;以及當該修改後的目標圖案達到一限制層對該目標圖案所定義的功能性時,產生一最佳化的目標圖案。
10‧‧‧積體電路製造系統
15‧‧‧設計室
20‧‧‧遮罩室
25‧‧‧積體電路製造廠
35‧‧‧積體電路設計佈局
40‧‧‧遮罩資料準備
45‧‧‧遮罩生產
50‧‧‧投射晶圓影像
55‧‧‧顯影後檢視機台
80‧‧‧最終晶圓圖案
30‧‧‧積體電路
62‧‧‧發光源模組
64‧‧‧發光光學模組
66‧‧‧遮罩模組
68‧‧‧投射光學模組
70‧‧‧目標模組
150‧‧‧目標特徵
152‧‧‧目標輪廓
154、158‧‧‧預測輪廓
156‧‧‧OPC修改目標特徵
200‧‧‧半導體裝置
202‧‧‧晶圓材料層
204‧‧‧基底
210、212、214、212A、212B‧‧‧導線
220A、220B‧‧‧切割部分
A~C‧‧‧切割特徵
d‧‧‧距離
300‧‧‧運算微影方法
310、320、330、340、350、360、370‧‧‧步驟
314‧‧‧切割圖案
315A、315B、315C‧‧‧目標線特徵
316‧‧‧目標切割特徵
318‧‧‧目標切割輪廓
312‧‧‧閘極圖案
324‧‧‧禁止區域
326、426‧‧‧功能區段
328、428‧‧‧非功能區段
s‧‧‧線終止空隙
F‧‧‧禁止區域
P、P1、PF、X、U、X1、XF、Y1、YF‧‧‧位置
334、335、430、434‧‧‧成本函數
336、337、432、436‧‧‧成本曲線
400‧‧‧佈植圖案
420、530‧‧‧限制層
410‧‧‧目標佈植特徵
412、534‧‧‧降落區域
422、532‧‧‧禁止區域
A‧‧‧區域
500‧‧‧接點圖案
510‧‧‧晶圓材料層
512‧‧‧閘極特徵
514‧‧‧源/汲極特徵
520‧‧‧目標接點特徵
522‧‧‧目標接點輪廓
540、542、54‧‧‧目標接點輪廓
600‧‧‧目標最佳化系統
602‧‧‧處理器
620‧‧‧設計佈局模組
625‧‧‧遮罩拼接模組
630‧‧‧積體電路資料收集模組
640‧‧‧模型模組
645‧‧‧製造模組
635‧‧‧製造資料庫
604‧‧‧系統記憶體
606‧‧‧主要儲存裝置
608‧‧‧通信模組
第1圖係為本發明實施例之一積體電路製造系統以及與積體電路製造系統相關的一積體電路製造流程的簡單示意圖。
第2圖係為本發明實施例之光學微影系統的簡單方塊示意圖,光學微影系統係由第1圖的積體電路製造系統所執行。
第3圖係為本發明實施例之OPC基礎運算微影程序的簡單方塊示意圖,OPC基礎運算微影程序係由第1圖的積體電路製造系統所執行。
第4圖係為本發明實施例之不同目標切割輪廓的示意圖,不同的目標切割輪廓可製造出相同積體電路特徵。
第5圖係為本發明實施例之運算微影方法的流程圖,其可由第1圖的積體電路製造系統所執行,用以達到目標最佳化。
第6A圖係為本發明實施例之用以製造積體電路特徵(如第4圖的積體電路特徵)的積體電路設計佈局所定義的一目標圖案的示意圖。
第6B圖係為本發明實施例之一限制層的簡單方塊示意圖,該限制層係取決於一目標圖案,如第6A圖所示的目標圖案。
第6C圖係為本發明實施例之根據一限制層的一成本函數的簡單示意圖,成本函數係由該目標圖案所定義,其中該限制 層可為第6B圖所示的限制層。
第7圖係為本發明實施例之執行一運算微影方法的一目標圖案的示意圖,該運算微影方法係為第5圖的運算微影方法。
第8圖係為本發明實施例之執行一運算微影方法的一目標圖案的其它實施例,該運算微影方法係為第5圖的運算微影方法。
第9圖係為根據本發明實施例之一目標最佳化系統的示意圖,該目標最佳化系統可能由第1圖所示的積體電路製造系統所執行。
以下的說明提供了許多不同的實施例或範例,用以說明本發明實施例的不同特徵。以下所敍述的元件及配的特定範例係用以簡單地說明本揭露。這些只是範例,並非用以限制本發明。舉例而言,舉例來說,形成第一特徵於第二特徵之上的敘述包含兩者直接接觸,或兩者之間隔有其他額外特徵而非直接接觸。此外,本發明之多種實例將重複標號及/或符號以簡化並清楚說明。不同實施例中具有相同標號的元件並不必然具有相同的對應關係及/或排列。
第1圖係為本發明實施例之一積體電路製造系統10以及與積體電路製造系統10相關的一積體電路製造流程的簡單示意圖。積體電路製造系統10包括許多實體,如一設計室(或設計團隊)15、一遮罩室20以及一積體電路製造者25(如一積體電路製造廠),在製造一積體電路裝置30的設計、發展及製造循環及/或服務中,這些實體相互影響。該等實體透過一通 訊網路彼此連接,該通訊網路可能係為一單一網路或是不同的網路,如一內部網路及/或網際網路,並且該通訊網路可能包括有線及/或無線通訊通道。每一實體可能與另一實體相互動作,並且可能提供服務予其它實體及/或接收其它實體的服務。設計室15、遮罩室20及積體電路製造廠25之至少一者可能被單一大型公司所擁有,並且可能共存於一共同設施中及使用共同的資源。
設計室15產生一積體電路設計佈局35(也稱為一積體電路設計圖案)。根據打算製造的一積體電路產品的規範,積體電路設計佈局35包括許多電路圖案(由幾何形狀所表示),用以製造出一積體電路產品。該等電路圖案相對於幾何圖案,其中幾何圖案係形成於許多材料層(如金屬層、介電層及或半導體層)之中,該等材料層整合在一起,用以形成該積體電路產品(如積體電路裝置30)的積體電路特徵(元件)。舉例而言,積體電路設計佈局35的一部分包括許多積體電路特徵。該等積體電路特徵將會形成在一基底(舉例而言,一矽基底)之中,及/或形成在該基底之許多材料層中。該等積體電路特徵可包括一主動區、一閘極特徵(如一閘極介電質及/或一閘極電極)、一源/汲極特徵、一內部連接特徵、一接合墊特徵(bonding pad feature)、其它積體電路特徵,或上述特徵之組合。在一些實施例中,其它有益的特徵也可設置於積體電路設計佈局35中,用以提供成像效果、增進製程,及/或辨識資訊。一幾何鄰近校正(geometry proximity correction;GPC)處理可能根據與積體電路製程相關的環境影響產生該有益的特徵,其中該幾何鄰 近校正處理相似於一光學鄰近校正(OPC)處理,都是用以最佳化遮罩圖案,另外,積體電路製程包括蝕刻負載效應(etching loading effects)、圖案化負載效應(patterning loading effects)及/或化學機械研磨法(CMP)處理效應。設計室15進行一適當的設計程序,用以形成積體電路設計佈局35。該設計程序可能包括邏輯設計、物理的設計、位置及走線或上述組合。積體電路設計佈局35係由至少一資料檔案所表示,該資料檔案具有該電路圖案(幾何圖案)的資訊。舉例而言,積體電路設計佈局35係一圖形資料庫系統(Graphic Database System)檔案格式(如GDS或GDSII)表示。在另一可能實施例中,積體電路設計佈局35係以其它合適的檔案格式表示,如開放的圖稿系統交換標準(open artwork system interchange standard)檔案格式(如OASIS或OAS)。
遮罩室20利用積體電路設計佈局35製造出一或多個遮罩,根據積體電路設計佈局35,該遮罩用以製造積體電路裝置30的許多層。一遮罩(也稱為一光遮罩(photomask)或一光罩(reticle)作為一圖案化基底,並應用於一微影程序中,用以圖案化一晶圓,如一半導體晶圓。遮罩室20執行遮罩資料準備40,積體電路設計佈局35被轉換成可被一遮罩寫入器所改寫之一格式,用以產生一遮罩。舉例而言,一遮罩寫入器將積體電路設計佈局35轉換成一機械可讀取指令。遮罩資料準備40產生一遮罩圖案(遮罩佈局),其對應於積體電路設計佈局35所定義的一目標圖案。藉由將積體電路設計佈局35的該目標圖案分裂成許多遮罩特徵(遮罩區域),便可產生該遮罩圖案,該等遮罩 特徵適用於一遮罩製造微影程序。根據許多因素(如積體電路特徵幾何圖形、圖案密度差異及/或臨界尺寸(critical dimension;CD)差異)執行該分裂程序,並且根據該遮罩寫入器為了轉印遮罩圖案所使用的方法,定義出該遮罩特徵。在一些實施例中,藉由將積體電路設計佈局35分裂成多邊形(如矩形或不規則四邊形),便可產生一遮罩圖案,利用每一多邊形產生曝光資訊。每一多邊形的曝光資訊可定義出一曝光劑量、一曝光時間及/或一曝光形狀。如下所述,遮罩資料準備40可進行許多程序,用以最佳化遮罩圖案,故可利用一微影程序在一晶圓(經常被稱為一最終晶圓圖案)上形成一最終圖案,該微影程序所使用的遮罩係從該遮罩圖案所製造出來的,用以增加解析度及精準度。
遮罩室20也執行遮罩生產45,即根據遮罩資料準備40所產生的該遮罩圖案,製造出一遮罩。在一些實施例中,在遮罩生產45時,該遮罩圖案會被修改,用以遵守一特定遮罩寫入器及/或遮罩製造器的要求。在遮罩生產45時,一遮罩製造程序會被執行,用以根據該遮罩圖案(遮罩佈局)製造出一遮罩。該遮罩包括一遮罩基底以及一圖案化遮罩層,該圖案化遮罩層包括一最終(實際)遮罩圖案。該最終遮罩圖案(如一遮罩輪廓)對應該遮罩圖案(其對於積體電路設計佈局35所提供的該目標圖案)。在一些實施例中,該積體電路設計佈局35係為一二元遮罩。在此例中,根據一可能實施方式,一不透明材料層(如鉻)會被形成在一透光遮罩基底(如一熔凝石英基底(fused quartz substrate)或是氟化鈣(CaF2))上,並且根據該遮罩圖案, 圖案化該不透明材料層,用以形成具有不透明區域及透明區域的遮罩。在一些實施例中,該遮罩係為一相位偏移遮罩(phase shift mask;PSM),其可增加成像的解析度及品質,如一衰減式相位偏移遮罩(attenuated PSM)或是一交替式相位偏移遮罩(alternating PSM)。一可能實施例中,一相移材料層(如矽化鉬(MoSi)或是矽氧化物)被形成在一透明遮罩基底上(如一熔凝石英基底或是氟化鈣),並且該相移材料層會被圖案化,用以形成一遮罩,該遮罩具有局部透射區、相移區域以及透射區,該等區域用以形成該遮罩圖案。在另一可能實施例中,該相移材料層係為該透明遮罩基底的一部分,因此,該遮罩圖案形成在該透明遮罩基底中。在一些實施例中,該遮罩係為一極紫外線(extreme ultraviolet;EUV)遮罩。在一可能實施例中,一反射層形成在一基底上,並且一吸收層(如一氮化硼鉭(TaBN))被圖案化,用以形成一遮罩,該遮罩具有反射區,其可構成該遮罩圖案。該基底包括一低熱膨脹材料(low thermal expansion material;LTEM),如熔凝石英、摻雜二氧化鈦的二氧化矽(TiO2doped SiO2)或是其它合適的低熱膨脹材料。該反射層可包括多層結構,其係設置於該基底上,多層結構包括複數膜對(film pairs),如鉬/矽(Mo/Si)膜對,或其他配置成用以反射極紫外線的適合的材料膜對。該極紫外線遮罩可能更包括一覆蓋層(如釕(Ru)),其設置於該反射層及該吸收層之間。另外,其它反射層可形成在該反射層之上,並被圖案化,用以形成一極紫外線相位偏移遮罩。
遮罩生產45可執行許多微影程序,用以製造出該 遮罩。舉例而言,該遮罩製造程序包括一微影程序,其形成一圖案化能量感測光阻層於一遮罩材料層之上,並將該圖案化光阻層所定義的圖案轉印到該遮罩圖案層中。該遮罩材料層係為一吸收層、一相移材料層、一不透明材料層、部分的遮罩基底、及/或其它合適的遮罩材料層。在一些實施例中,形成該圖案化能量感測光阻層的步驟包括,形成一能量感測光阻層於該遮罩材料層之上(如利用一旋轉塗佈製程(spin coating process))、執行一帶電粒子束曝光程序(charged particle beam exposure process)以及執行一顯影程序。該帶電粒子束曝光程序利用一帶電粒子束(如一電子束(electron-beam)或是一離子束(ion beam))直接地”寫入”一圖案於該能量感測光阻層中。由於該能量感測光阻層係感測帶電粒子束,故在顯影程序時,根據該能量感測光阻層的特性以及顯影程序所使用的顯影液(developing solution)的特性,該能量感測光阻層被曝光的部分將產生化學變化,並且該能量感測光阻層被曝光(或未曝光)的部分會被分解。在顯影後,該圖案化光阻層包括一光阻圖案,其對應於該遮罩圖案。接著,利用任何適合的程序,將該光阻圖案轉印到該遮罩材料層中,使得一最終遮罩圖案形成在該遮罩材料層中。舉例而言,該遮罩製造程序可包括,執行一蝕刻程序,用以移除該遮罩材料層的部分,其中在該蝕刻程序中,該蝕刻程序將該能量感測光阻層作為一蝕刻遮罩。在蝕刻程序後,該微影程序可包括,從該遮罩材料層上移除該能量感測光阻層,如利用一光阻剝離程序(resist stripping process)。
積體電路製造廠25(如一半導體製造廠)利用遮罩 室20所產生的該遮罩(或多遮罩),製造出積體電路裝置30。舉例而言,執行一晶圓製程程序,其利用一遮罩於一晶圓(如一半導體晶圓)上製造出積體電路30的一部分。在一些實施例中,積體電路製造廠25利用不同的遮罩,多次執行晶圓製造程序,用以完成積體電路裝置30的製程。根據積體電路的製造方法,在進行晶圓製造程序時,該晶圓可包括許多材料層及/或積體電路特徵(如摻雜特徵、閘極特徵及/或內部連接特徵)。該晶圓製造程序包括一微影程序,其利用一遮罩(如遮罩室20所製造的遮罩)形成一圖案化光阻層於一晶圓材料層之上,並將定義在該圖案化光阻層之中的圖案印在該晶圓材料層之中。該晶圓材料層係為一介電層、一半導體層、一導電層、一基底的部分、及/或其它適合的晶圓材料層。
形成該圖案化光阻層的步驟包括,形成一光阻層於該晶圓材料層之上(如利用旋轉塗佈)、執行一預曝後烤程序(pre-exposure baking process)、利用該遮罩進行一曝光程序(包括遮罩對準)、進行一曝後烤程序(post-exposure baking process)以及一顯影程序。在曝光程序中,利用一發光源,將該光阻層曝露在一放射能量(如紫外線(UV)光、深紫外線(deep UV)光或極紫外線(EUV)光)中,根據該遮罩的一最終遮罩圖案及/或遮罩類型(如二元遮罩、相位偏移遮罩、或是極紫外線遮罩),該遮罩將阻擋、傳送、及/或反射能量至該光阻層,使得一影像被投射在光阻層上,該光阻層對應該最終遮罩圖案。該影像作為一投射晶圓影像50。由於光阻層係感測放射能量,故在顯影程序中,根據該光阻層的特性及顯影程序所用的顯影液的特性, 該光阻層被照射到的部分會產生化學變化,並且該光阻層被照射到(或未被照射到)的部分會被分解掉。在顯影後,該圖案化光阻層包括一光阻圖案,其對應該最終遮罩圖案。一顯影後檢視機台(after development inspection;ADI)55擷取與光阻圖案有關的資訊,如臨界尺寸均勻性(CD uniformity;CDU)資訊、覆蓋資訊(overlay information)、及/或缺陷資訊(defect information)。
第2圖係為本發明之光學微影系統60的簡單方塊示意圖,光學微影系統60用以將一遮罩的圖案成像於一工作部件(workpiece)上,其可由積體電路製造廠25所進行。該工作部件包括一晶圓、一遮罩或是其它用以形成積體電路圖案及/或積體電路特徵的基材。在一些實施例中,該工件係為一晶圓,其具有一輻射感應層(如一光阻層),該輻射感應層設置於該晶圓之上。在第2圖中,光學微影系統60包括一發光源模組62、一發光光學模組64、一遮罩模組66、一投射光學模組68以及一目標模組70。發光源模組62包括一輻射源,其產生並發射輻射(光線),其具有合適的波長,如UV輻射、DUV輻射、EUV輻射、其它合適的輻射、或上述組合。發光光學模組64收集、引導並控制該輻射,使得該輻射被投射至一遮罩上。遮罩模組66包括一遮罩平台,用以承載該遮罩並控制該遮罩的位置。根據該遮罩的一最終遮罩圖案以及用以製造該遮罩的遮罩技術,該遮罩傳送、吸收、及/或反射該輻射,因而投射圖案化輻射。投射光學模組68收集、引導並控制來自遮罩模組66的圖案化輻射至目標模組70的工作部件,使得該遮罩(對於該最終遮罩圖案)的 一影像被投射在工作部件上。目標模組70可包括一晶圓平台,用以承載該工作部分並控制該工作部件的位置。在一些實施例中,目標模組70控制工作部件的位置,使得該遮罩的影像以一重覆方式(repetitive fashion)被掃描至該工作部件上,也可利用其它可能的掃描方式將遮罩的影像掃描至工作部件上。在一些實施例中,發光光學模組64包括許多光學元件,用以收集、控制並成形(shaping)該輻射於該遮罩上,並且投射光學模組68包括許多光學元件用以收集、控制並成形該圖案化的輻射至該工作部件上。上述光學元件包括折射元件、反射元件、磁元件、電磁元件、靜電元件、及/或其它用以收集、控制並成形該輻射的元件。第2圖已簡單地描述本發明。其它特徵可被加在光學微影系統60中,並且以下所敍述的特徵也可被置換、修改或刪除,以作為光學微影系統60的其它實施例。
請參考第1圖,由積體電路製造廠25所進行的該晶圓製造製程利用許多方法將該圖案化光阻層所定義的該光阻圖案轉移至該晶圓材料層,使得一最終晶圓圖案80形成在該晶圓材料層之中。舉例而言,該晶圓製造製程可包括執行一佈植程序,用以形成許多摻雜區/特徵於該晶圓材料層中,在該佈植程序中,該圖案化光阻層作為一佈植遮罩。在另一可能實施例中,該晶圓製造製程可包括,執行一蝕刻程序,其移除該晶圓材料層的部分,在該蝕刻程序中,該蝕刻程序將該圖案化光阻層作為一蝕刻遮罩。在該佈植程序或該蝕刻程序後,該微影程序包括,從該晶圓移除該圖案化光阻層,舉例而言,利用一光阻剝除程序。在另一實施例中,該晶圓製造程序可包括,執 行一沉積程序,其利用一介電材料、一半導體材料或是一導電材料填滿該圖案化光阻層(藉由移除該光阻層的部分,以形成該圖案化光阻層)的開口。在此例中,移除該圖案化光阻層的步驟係移除該晶圓材料層的一部分,其中該圖案化光阻層的一負像(negative image)圖案化該部分。一蝕刻後檢視機台(after etch inspection;AEI)可擷取與形成在該晶圓材料層的一最終晶圓圖案80有關的資訊,如CDU。
理想上,最終晶圓圖案80符合該積體電路設計佈局35所定義的該目標圖案。然而,由於許多與該遮罩及該晶圓製造程序有關的因素,使得在該遮罩上的該最終遮罩圖案從該遮罩圖案就被改變(該遮罩圖案係由遮罩設計佈局35所定義的該目標圖案所產生),造成形成在該晶圓上的最終晶圖圖案80從該目標圖案就被改變。舉例而言,遮罩寫入模糊(如電子束寫入模糊(e-beam writing blur)及/或其它遮罩製造因素造成該最終遮罩圖案與該遮罩圖案之間的差異,其造成最終晶圓圖案80與該目標圖案之間的差異。許多與該晶圓製造程序有關的因素(如光阻模糊、遮罩差異、投射影像的解析度、酸擴散(acid diffusion)、蝕刻偏差、及/或其它晶圓製造因素)更會惡化最終晶圓圖案80與該目標圖案之間的差異。
運算微影(computational lithography)用以增加並最佳化該遮罩製造程序及該晶圓製造程序,因而最小化該最終晶圓圖案80與該目標圖案之間的差異。運算微影可為任何用以計算精準物理模型(computationally-intensive physical models)的技術及/或經驗法則(empirical models),用以預測並最佳化積 體電路特徵圖案化步驟,該物理模型及/或該經驗法則係考慮會影響微影程序結果的現象,如成像效應(如繞射(diffraction)及/或干擾)及/或光阻化學性質。積體電路製造系統10用以產生最理想的設定予發光光學模組64(一般稱為光源最佳化)、遮罩模組66(一般稱為遮罩最佳化)、投射光學模組68(一般稱為波前工程(wave front engineering)、及/或目標模組70(一般稱為目標最佳化)。舉例而言,積體電路製造系統10可執行源遮罩最佳化(SMO),用以產生一遮罩(由遮罩室20所產生)的一最終遮罩圖案的形狀以及用以照射該遮罩(由發光光學模組64所提供)的輻射的形狀,該輻射的形狀係用以最佳化投射晶圓影像50。在另一可能實施例中,積體電路製造系統10可執行波前工程(wave front engineering),用以設定投射光學模組68,投射光學模組68用以最佳化投射晶圓影像50。在其它實施例中,積體電路製造系統10可執行光學鄰近校正(OPC)、遮罩規則檢查(mask rule check;MRC)、微影程序檢查(lithographic process check;LPC)及/或逆微影技術(ILT),用以產生一遮罩(由遮罩室20所產生)的一最終遮罩圖案的形狀,其最佳化投射晶圓影像50。
請參考第3圖,第3圖係為本發明實施例中根據OPC之運算微影程序的簡單方塊示意圖,其中運算微影程序可在遮罩資料準備40進行。舉例而言,一目標圖案包括打算形成在一晶圓上的一目標特徵150。藉由曝光一遮罩,一目標輪廓152定義出轉印(成像)在該晶圓上的一圖案的形狀,該遮罩具有目標特徵150,目標特徵150作為理想運算微影程序條件。即使在理 想運算微影程序條件下,微影限制避免目標特徵150在轉印到該晶圓時具有正常角度的隅角(corners),故目標輪廓152會呈現出圓的隅角。一預測輪廓154表示藉由曝光具有目標特徵150的遮罩而轉印在該晶圓上的圖案,此時的目標特徵150具有預測微影程序條件。在一些實施例中,遮罩資料準備40執行一LPC程序,用以產生預測輪廓154。LPC程序根據一被產生的遮罩圖案並利用許多LPC模型(如規則),模擬一遮罩的一影像,LPC模型可能取自於實際程序資料(actual processing data)或是歷史程序資料(historic processing data),實際(歷史)程序資料與製造積體電路裝置的積體電路製造廠25有關。程序資料可包括,與積體電路製造循環的許多程序有關的程序條件、與用以製造該積體電路的工具有關的條件、及/或其它製程觀點。LPC程序考慮許多因素,如影像對比度、焦點深度、光罩誤差靈敏度(mask error sensitivity,MEEF)、其他適當的因素、或是上述因素的結合。
如第3圖所述,由於目標輪廓152與預測輪廓154會有很大的改變,故OPC用以改變該目標圖案,直到一預測輪廓符合目標輪廓152,因而產生經OPC修改後的目標圖案。舉例而言,目標特徵150被轉換成一個OPC修改目標特徵156,用以補償造成上述改變的微影程序條件,以產生一預測輪廓158,其符合目標輪廓152,並可改變微影可轉印性(lithography printability)。預測輪廓158表示藉由曝光一遮罩轉印在該晶圓上的圖案,該遮罩具有OPC修改目標特徵156,其作為預設影像程序條件。在一些實施例中,一LPC程序產生預測輪廓158。 OPC利用微影增強技術,補償影像失真及錯誤,如來自繞射、干擾或其它製程影響的錯誤。OPC可加入輔助特徵(assistant features;AFs),如散射條(scattering bar)、截線(serif)、及/或鎚頭狀(hammerhead)至該目標圖案(此處為目標特徵150)中,或是根據光學模型(如模型基礎之光學鄰近校正(model-based OPC))及/或光學規則(如規則基礎的光學鄰近校正(rule-based OPC))修改(如修改尺寸、形狀及/或位置)目標圖案,使得在微影程序後,一最終晶圓圖案增加解析度及準確度。在一些實施例中,OPC會扭曲該目標圖案,用以平衡影像密度,舉例而言,移除該目標圖案的部分,用以降低過曝光區域及加入輔助特徵至該目標圖案中,用以加強缺乏曝光(under-exposed)區域。在一些實施例中,輔助特徵補償了幾何圖形周圍的不同密度所引起的線寬差異。在一些實施例中,輔助特徵可預防線端短路(line end shortening)及/或線端圓化(line end rounding)。OPC更可校正電子束鄰近效應及/或執行其它最佳化特徵。
在一些實施例中,該OPC程序及該LPC程序反覆地進行,藉由多次反覆進行(如修改及模擬),用以產生OPC修改目標特徵156。在一些實施例中,目標輪廓152表示複數目標點,其係由一OPC程序沿一周長所產生,該周長定義目標特徵150(如目標輪廓152),並且預測輪廓154表示定義出目標特徵150的周長,該目標特徵150係藉由一LPC程序所產生。在此例中,目標輪廓152可能執行一切割程序(dissection process),目標輪廓152被切割成複數分離區段,該等分離區段係由複數切割點(也稱為縫合點(stitching points))所定義。然後,至少一目 標點可能分配予每一區段,使得目標點沿目標輪廓152分隔開來。在一些實施例中,該OPC程序修改目標特徵150,直到目標輪廓152的目標點之間的距離落於一適當的距離範圍內。在其它實施例中,在執行OPC後,遮罩資料準備40更執行一MRC程序,用以檢查該遮罩圖案,MRC程序使用了遮罩生成規則(mask creation rules)。遮罩生成規則可定義幾何圖案限制及/或連接限制,用以避免許多可在積體電路製造程序中引起變化的間題及/或失敗。第3圖已簡單地敍述本發明之實施例,額外特徵可加入以OPC為基礎的運算微影程序中,並且以下的部分特徵可被置換、修改或刪除,用以達到OPC基礎運算微影程序的其它實施例。
OPC為基礎之運算微影技術及運算微影技術通常用於最小化一成本函數,其定義一預測輪廓與一目標輪廓之間的變化,如一邊緣位置誤差(edge placement error;EPE)。該成本函數更可使上述變化與許多不利因素相關聯,該等不利因素係由與微影程序有關的程序限制所引起,如MRC不利及/或一AF轉印不利。雖然可藉由上述技術產生一最佳化的目標圖案,但該目標輪廓的形狀可消極地影響製程窗口,其中該最佳化的目標圖案建立了一預測輪廓,其與目標輪廓之間具有最小變化。舉例而言,在一般條件下,定義出具有尖隅角的一目標輪廓會造成低對比度及/或低焦點深度。然而,並非一目標輪廓的每一區段都具有一清楚目標。舉例而言,該目標輪廓的形狀改變(如以圓隅角取代尖隅角)時,仍可達到該目標圖案所需的功能性。
請參考第4圖,第4圖為本發明實施例之不同切割特徵,其可應用於一切割圖案中,使得一半導體裝置200具有相同的積體電路特徵。半導體裝置200包括一晶圓,其具有許多晶圓材料層(如介電層、半導體層及/或導體層),該等晶圓材料層在積體電路製程步驟中,形成積體電路特徵(如摻雜特徵、閘極特徵及/或內部連接特徵)。舉例而言,在一可能實施例中,晶圓包括一晶圓材料層202。晶圓材料層202覆蓋在一基底204之上,如一矽基底。晶圓材料層202包括許多導線,如210、212及214。在一些實施例中,導線210、212及214用以形成半導體裝置200的閘極特徵,如半導體裝置200的多晶矽閘極特徵。半導體裝置200可能係為一積體電路晶片的一部分,一系統整合晶片(SOC)、或是系統整合晶片的一部分。系統整合晶片可能具有許多被動裝置及主動裝置,如電阻、電容、電感、保險絲、二極體、P通道場效電晶體(PFETs)、N通道場效電晶體(NFETs)、金屬氧化半導體場效電晶體(MOSFETs)、互補式氧化半導體(CMOS)電晶體、高壓電晶體、高頻電晶體、其它適合的元件或是上述元整的組合。藉由第4圖可簡單且清楚地呈現本發明實施例的特徵,半導體裝置200可加入額外特徵,並且以下所揭露的部分特徵可能被置換、修改或是刪除,以呈現出半導體裝置200的不同實施方式。
在第4圖中,執行一微影程序,用以移除部分的晶圓材料層202。舉例而言,微影程序移除了導線212的一部分,因而形成一最終晶圓圖案,最終晶圓圖案包括導線212A、212B、210及214。導線212A與212B彼此獨立,並相距一距離d。在一 些實施例中,微影程序包括利用一切割遮罩於晶圓材料層202上形成一圖案化光阻層(也稱為一切割層),並將圖案化光阻層所定義的一切割圖案轉印至晶圓材料層202。形成該圖案化光阻層的步驟可包括在晶圓材料層202上形成(如利用旋塗法)一光阻層、進行一預曝後烤程序、利用該切割遮罩進行一曝光程序、進行一曝後烤程序以及進行一顯影程序。在曝光程序時,光阻層會被曝露在一光源的放射能量中,根據切割遮罩的切割圖案及/或遮罩類型(如二元遮罩、相位偏移遮罩、或是極紫外線遮罩)該切割遮罩會遮蓋、傳送及/或反射放射能量至光阻層,使得一影像被投射在對應於該切割圖案(稱為被投射的切割影像)的光阻層上。由於光阻層感應放射能量,故在顯影程序下,根據顯影程序所使用的光阻層的特性以及顯影程序的一顯影方法的特性,被照射的光阻層將發生化學變化,並且被照射的部分(或未被照射的部分)會被分解。在顯影後,被圖案化的光阻層會包括對應於該切割圖案之一光阻圖案。在一些實施例中,利用一蝕刻程序將光阻圖案轉印至晶圓材料層202。舉例而言,光阻圖案(切割圖案)曝露出部分的導線212,因此,在蝕刻程序中,該圖案化的光阻層被作為一蝕刻遮罩,用以移除導線212被曝露的部分,故形成導線212A及212B。
對於形成在該圖案化的光阻層(切割層)上的一切割特徵而言,只有特定部分(邊緣)會定義出該最終晶圓圖案,因此,即使切割特徵具有不同的輪廓,該圖案化的光阻層也可得到相同的最終晶圓圖案。舉例而言,雖然切割特徵A具有近似平行四邊形的輪廓、切割特徵B具有近似楕圓形的輪廓、切 割特徵C具有近似矩形的輪廓,但用以切割導線212的切割特徵A~C的輪廓的部分都相同,不論圖案化的光阻層具有切割特徵A、B或C,都可得到第4圖所示的最終晶圓圖案。特別而言,切割特徵A的輪廓具有切割部分220A、切割特徵B的輪廓包括切割區段222A、切割特徵C的輪廓包括切割區段224A,切割區段220A、222A及224A在該圖案化光阻層上,定義出一切割邊緣,切割區段220A、222A及224A之每一者定義一線終止空隙s,線終止空隙s對應於距離d(舉例而言,線終止空隙s大約等於距離d)。相較之下,切割特徵A~C也各自具有區段220B、222B以及224B。在切割程序中,區段220B、222B以及224B較不重要。因此,考量到圖案化的光阻層的功能性,只要切割區段220A、222A以及224A達到相同的功能(也就是定義出導線212需被移除的部分,用以得到線終止空隙s),切割特徵A~C都相同。因此,只要切割特徵的輪廓達到圖案化光阻層(也就是第4圖所示的切割晶圓材料層202)所需的功能,圖案化光阻層的切割特徵的輪廓可為任何形狀。
請參考第5圖,第5圖係為根據本發明實施例之運算微影方法300的流程圖,運算微影方法300可由第1圖的IC製造系統10所執行。如下所述,當運算微影方法最佳化一目標圖案的輪廓時,運算微影方法300著重於該目標圖案的功能性及/或該目標圖案的一目標輪廓,而不是僅針對該目標輪廓的形狀。舉例而言,當一預測的目標晶圓輪廓(對應於一修改後的目標圖案)滿足一積體電路設計佈局所定義的目標圖案的功能性時,運算微影方法300產生一最佳化的目標圖案。設計室15、遮罩 室20及/或積體電路製造廠25可執行運算微影方法300。在一些實施例中,設計室15、遮罩室20及/或積體電路製造廠25共同執行運算微影方法300。在一些實施例中,運算微影方法300可由IC製造系統10的遮罩資料預備40所執行。第5圖簡單地呈現本發明實施例的發明概念,使得本發明實施例可輕易地被了解。運算微影方法300可加入額外特徵,並且以下所敍述的特徵可被置換、改或是排除,以得到運算微影方法300的其它實施方式。
在方塊310中,運算微影方法300包括接收一積體電路設計佈局,如積體電路設計佈局35,其定義出一目標圖案。積體電路設計佈局係由具有該目標圖案資訊的一或多個資料檔案所表示。舉例而言,積體電路設計佈局係由一GDSII檔案格式或是一OASIS檔案格式所表示。為了便於以下討論,該目標圖案包括一閘極遮罩之一閘極圖案以及一切割遮罩之一切割圖案。舉例而言,該閘極遮罩係用以形成多個閘極線,而該切割遮罩係用以移除部分的閘極線,因而形成一積體電路裝置(如積體電路裝置30)的閘極特徵。在此,第6A圖係為本發明之一閘極圖案312的簡單的示意圖,該閘極圖案312與一切割圖案314有關,其均可由積體電路設計佈局所定義,用以製造出第4圖所述的閘極特徵。舉例而言,整合閘極圖案312及切割圖案314,用以形成一最終晶圓圖案,該最終晶圓圖案包括導線315A、315B、315C。導線315B的兩線段彼此獨立,並相隔距離d。具有閘極圖案312的一閘極遮罩可用以形成晶圓材料層202於基底204之上,並且具有切割圖案314的一切割遮罩可用以圖案化 晶圓材料層202。在第6A圖中,切割圖案314覆蓋於閘極圖案312上,閘極圖案312包括目標線特徵315A、315B以及315C。目標線特徵315A對應於導線210。目標線特徵315B對應於導線212。目標線特徵315C對應於導線214。切割圖案314包括一目標切割特徵316,其相似於第4圖的切割特徵B。切割圖案314相對於閘極圖案312,使得當目標切割特徵316形成在一光阻層時,目標切割特徵316的一目標切割輪廓318覆蓋並曝露導線212需被移除的部分(如利用一蝕刻程序)。目標切割輪廓(邊界)318定義出目標切割特徵316的形狀,利用既定的理想微影程序條件,曝露切割遮罩,用以將目標切割特徵316的形狀轉印(成像)在光阻層上。然而,如上所述,微影限制常改變目標圖案,因而影響一最終晶圓圖案,使得目標切割輪廓318改變晶圓上的一最終切割輪廓。
請參考第5圖,運算微影方法300修改該目標圖案,用以產生一最佳化的目標輪廓,當該最佳化的目標輪廓轉印(成像)於光阻層上時,可得到最佳化的最終晶圓圖案。在方塊320中,運算微影方法100根據該目標圖案的功能性,定義一限定層。該限制層至少定義出對應於該目標圖案的一區域、範圍、線段、邊緣及/或特徵,該目標圖案包括一最佳化的目標輪廓的形狀。該最佳化的目標輪廓係藉由運算微影方法300所產生。因此,該最佳化的目標輪廓維持積體電路設計佈局所定義的該目標圖案的功能性。一般而言,該目標圖案的功能性係關於處理特性、電氣特性、空間特性、物理特性及/或積體電路設計佈局所定義的目標圖案的其它特性。
在一些實施例中,該限制層係取決於將被處理之一晶圓材料層(此處稱為一處理層(processing layer)N)的製程限制、先前已處理的晶圓材料層(如處理層N-1、N-2、N-3…等)及/或接下來欲處理的晶圓材料層(如處理層N+1、N+2、N+3…等),該晶圓材料層係用以形成該目標圖案。舉例而言,限制層包括該目標圖案的一禁止區域(範圍),該禁止區域定義該目標圖案中不能被最佳化目標輪廓所曝露、接觸及/或覆蓋的區域,用以確保積體電路裝置呈現所需的特性。在其它實施例中,限制層包括該目標圖案的一降落區域(範圍)。降落區域(landing region)定義該目標圖案中必需被最佳化目標輪廓所曝露、接觸、覆蓋及/或重疊的區域,用以確保積體電路裝置呈現所需的特性。
在一些實施例中,限制層係取決於該目標輪廓的一功能區段(functional segment)及/或一非功能區段(non-functional segment)。該功能區段限定該目標輪廓的一部分,該部分與該目標圖案的功能性有關。該非功能區段限定該目標輪廓的一部分,該部分與該目標圖案的功能性無關。舉例而言,當該目標輪廓的一部分限定了一端至端空隙(end-to-end spacing)、一臨界尺寸、一間距及/或該目標圖案的其它特性時,則該部分作為一功能區段。然後,在修改該目標圖案時,當運算微影方法300比較該最佳化的目標輪廓與該目標輪廓時,運算微影方法300著重於功能性線段,用以確保該最佳化的目標輪廓的符合該目標輪廓的功能區段。在一些實施例中,該最佳化目標輪廓的形狀配合該目標輪廓的功能區段,並位於一預設 的臨界範圍內。在一些實施例中,在所有的製程條件下,功能區段上之最佳化的目標輪廓的形狀係最小化該最佳化的目標輪廓與該目標輪廓之間的邊緣位置。
第6B圖係為本發明實施例之限制層322的簡單示意圖,限制層322可由一目標圖案所定義,如第6A圖所述之切割圖案314。當運算微影方法300修改切割圖案314時,限制層322限定一對應於目標切割特徵316的最佳化切割輪廓的形狀。舉例而言,在切割圖案314裡的目標切割特徵316的最佳化切割輪廓的形狀受到了先前製造的晶圓材料層202(也就是處理層N-1)的限制,其中先前製造的晶圓材料層202係根據閘極圖案312所製造出來的。尤其是,在切割程序中,為了確保不會移除導線210及214,限制層322根據閘極圖案312,定義了切割圖案314的禁止區域324。禁止區域324定義在切割圖案314中不能被目標切割特徵316的最佳化切割輪廓所曝露、接觸及/或覆蓋的區域,用以確保最終晶圓圖案的實體佈局(physical layout)達到第4圖所述的閘極特徵。在本實施例中,一禁止區域324覆蓋目標線特徵315A,並且一禁止區域324覆蓋目標線特徵315C,因此,不論目標切割特徵316具有什麼樣的最佳化切割輪廓,在製程中,都不會接觸及/或曝露出導線210或214。
限制層312更將目標切割輪廓318切分為功能區段326以及非功能區段328。功能區段326包括部分的目標切割輪廓318,其限定了切割圖案314的功能性,也就是一切割程序的一切割遮罩的功能,其可移除部分的導線212,故導線212A與212B相隔距離d。舉例而言,在本實施例中,功能區段326定義 了目標切割特徵316的切割邊緣,切割邊緣覆蓋了目標線特徵315B需被移除的部分,功能區段326也定義了目標線特徵315B剩餘部分之間的線終止空隙s。因此,在功能區段326的最佳化切割輪廓的形狀對該目標圖案的功能性較為重要,故運算微影方法300在功能區段326處,最小化最佳化切割輪廓的形狀及目標切割輪廓318的形狀之間的差異。在一些實施例中,最佳化目標切割特徵將會最小化在功能區段326處的最佳化切割輪廓與目標切割輪廓318之間的EPE,用以確保線終止空隙s大約等於積體電路設計佈局所定義的距離d。相反地,非功能區段328包括目標切割輪廓318中不會限定切割圖案314之功能性的部分。舉例而言,在本實施例中,非功能區段328定義了目標切割特徵316的邊緣,該邊緣並不會覆蓋到目標線特徵315B,故非功能區段328並不會對切割程序造成限制。在非功能區段328的最佳化切割輪廓的形狀因而不重要了,故運算微影方法300可以允許在非功能區段328處的最佳化切割輪廓與目標切割輪廓318之間的形狀差異。因此,只要確保非功能區段328不侵入禁止區域324,目標切割特徵316的最佳化切割輪廓可為任何形狀,並提供顯著的最佳化彈性。
請參考第5圖,在方塊330中,運算微影方法300根據限制層定義出一成本函數。成本函數與一空間關係有關,該空間關係指限制層及目標圖案的輪廓之間的空間關係,並且該空間關定義出一不利成本(penalty cost)。在一些實施例中,根據該限制層的一空間關係定義出該不利成本,該空間關係與該目標圖案的輪廓有關,當目標圖案的輪廓接近限制層時,不利 成本可能增加或減少。在一些實施例中,根據禁止區域的一空間關係定義出該不利成本,禁止區域的一空間關係與目標圖案的輪廓有關,隨著目標圖案的輪廓接近禁止區域,不利成本會增加。在其它實施例中,該不利成本係根據降落區域的一空間關係來定義,該降落區域的空間關係與目標圖案的輪廓有關,隨著目標圖案的輪廓接近降落區域,不利成本隨之增加。在一些實施例中,該不利成本根據目標圖案的輪廓與目標圖案的目標輪廓於功能區段處的空間關係來定義。成本函數考慮許多製程條件的變化,如形成在一晶圓上的修改後的目標圖案,該修改後的目標圖案具有修改後的目標輪廓。在一些實施例中,製程條件與所使用的一遮罩有關,該遮罩包括該修改後的目標圖案,該修改後的目標圖案用以在晶圓上形成一影像,該影像對應該修改後的目標圖案。如此的製程情況可包括離焦情況、曝光情況(能量偏差)及/或遮罩情況(遮罩偏置),這些條件與晶圓上的修改目標圖案有關。運算微影方法300可利用成本函數,產生一修改後的目標圖案,其具有一修改後的目標輪廓(也就是本質輪廓),其達到目標圖案的功能,而不是只修改目標圖案,使得修改後的目標輪廓符合該目標輪廓。
回到切割圖案314及對應的限制層322,一成本函數係與禁止區域324及切割圖案314的輪廓之間的一空間關係有關。第6C圖係為本發明實施例之一成本函數的簡單示意圖,該成本函數係根據一限制層的一目標圖案所定義出的,如第6B圖所述的限制層322的切割圖案314。在第6C圖中,切割圖案314的放大部分332定義目標切割特徵316的輪廓相對於禁止區域 324之一者(稱為禁止區域F)的位置P(尤其是非功能區段328之一者)。成本函數334及/或335係根據目標切割特徵316的輪廓相對於禁止區域F的位置P所定義。當位置P沒有侵入禁止區域F時,成本函數334及/或335最小化不利成本。成本函數334建立了一成本曲線336。當目標切割特徵316的輪廓侵入禁止區域F時,成本曲線336以指數方式增加。當目標切割特徵316的輪廓大於一位置P1時,不利成本係為一最小值(如0)。當位置P從位置P1轉變成一位置PF時,不利成本逐漸增加。因此,定義出禁止區域F的邊界。當位置P小於位置PF時,表示目標切割特徵316的輪廓將會曝露出禁止區域F,故不利成本將顯著的增加。相反地,成本函數335建立了一成本曲線337。當位置P大於位置PF時,不利成本係為一最小值。當位置P小於位置PF時,不利成本係為一極大值。因此,在修改切割圖案314時,藉由估測一修改後的目標切割輪廓相對於限制層322的位置,運算微影方法300可分配該修改後的目標切割輪廓成本,該修改後的目標切割輪廓對應於修改切割圖案。
請回到第5圖,在方塊340與350中,運算微影方法300利用一遮罩,模擬一微影程序,用以修改目標圖案並產生一修改後的目標輪廓,該遮罩具有該修改後的目標圖案。藉由增加及/或減少目標圖案的特徵,用以對該目標圖案進行許多調整,並產生該修改後的目標圖案,舉例而言,重新調整目標圖案的尺寸、形狀及/或目標圖案的特徵,但並非用以限制本發明實施例。接著回到切割圖案314,運算微影方法300修改切割圖案314,然後產生目標切割特徵316的一修改目標切割輪廓, 該修改目標切割輪廓定義出目標切割特徵316的形狀,其中利用一具有該修改的切割圖案的切割遮罩,並根據許多微影程序條件,便可將目標切割特徵316的形狀轉印(成像)在一光阻層上。在一些實施例中,根據許多製程條件,可定義出許多製程窗口。該等製程條件與轉印該修改後的目標圖案(此處稱為變更切割圖案314)有關,如離焦條件、曝光條件(能量偏差)及/或遮罩條件(遮罩偏置),但並非用以限制本發明實施例。在一些實施例中,製程窗口定義了離焦情況的範圍、能量偏差及/或遮罩偏置製程窗口,使得對應於修改後的目標輪廓的特徵具有合適的微影品質。在一些實施例中,方塊340修改該目標圖案(一般稱為一目標最佳化技術),該修改可隨著OPC(以邊緣為主的遮罩最佳化技術)、ILT(以畫素為主的遮罩最佳化技術)、SMO(一光源遮罩最佳化技術)、其它微影最佳化技術(如最佳化技術,其著重最佳化工具參數及/或製程參數,除了該遮罩及/或該光源以外,如薄膜疊層、瞳孔形狀、曝光劑量、其它參數或其組合)或其它組合一起執行。
在方塊360中,運算微影方法300判斷該修改後的目標輪廓是否最小化具有適當的製程窗口的成本函數。在一些實施例中,運算微影方法300估測修改後的目標輪廓與限制層之間的一空間關係,用以判斷該修改後的目標輪廓的一不利成本。回到切割圖案314,成本函數334定義該不利成本(第6C圖),該目標切割特徵316的修改後目標切割輪廓與限制層322之間的一空間關係被估測出來,舉例而言,以便判斷該修改後的目標切割輪廓的一位置的不利成本。在本實施例中,當一位置大 於與禁止區域F有關的位置(position)P1時,該修改後的目標切割輪廓最小化該不利成本。在一些實施例中,運算微影方法300更估測該修改後的目標輪廓是否符合限制層所定義的功能區段中的目標輪廓。舉例而言,該修改後的目標切割輪廓與目標切割輪廓318的功能區段326相比較,用以確保該修改後的目標輪廓的一位置的任何變化都能落於適當的範圍內(如在一適當的EPE範圍內)。在一些實施例中,運算微影方法300更估測與該修改後的目標輪廓有關的一製程窗口。舉例而言,當一修改後的目標輪廓最小化成本函數時,估測製程條件與最小化的成本函數,用以判斷製程條件是否位於所定義的製程窗口中。製程窗口通常可定義微影成像品質對於製程上之變量(如與成像在一晶圓上的修改後目標圖案所相關的焦點變量、能量變量及/或遮罩製造變量)的公差。較大的製程窗口對於製程變量,提供了較大的公差,因而得到較高製造良率。焦點變量(如z方向的漂移)會對一投射的晶圓影像進行離焦,造成臨界尺寸(critical dimension;CD)的變量及/或在投射的晶圓影像的影像對比損耗。在一些實施例中,關於離焦的臨界尺寸變量係表示焦點漂移公差,一焦點深度(DoF)可被定義成一最大焦點漂移範圍,其中臨界尺寸可被控制在一預設的公差(如在一預設的百分比)中。能量變量(如曝光劑量的變量)會引起臨界尺寸的變量及/或在投射的晶圓影像中的線邊緣的粗糙。在一些實施例中,關於曝光劑量變量(如能量漂移)的臨界尺寸變量包括能量偏差的公差,與臨界尺寸一致性(CDU)、線寬粗糙度(LWR)、及/或EL(如影像對比、影像對數斜率(image logslope;ILS)、 正規化影像對數斜率(normalized ILS;NILS)相關的多種量測技術可用以估測上述的公差。遮罩製造變量(如一遮罩圖案與一遮罩製程所引起的該修改後的目標輪廓間的變量)也可在投射的晶圓影像上引起臨界尺寸變量。在一些實施例中,關於遮罩的臨界尺寸變量係表示遮罩偏置公差,可利用許多度量法(如遮罩增強錯誤因子(mask enhanced error factor;MEEF))估測上述公差。在其它實施例中,關於其它製程變量,可估測製程窗口,製程變量包括像差靈敏度(舉例而言,關於Zernike像差係數漂移的臨界尺寸的變量)、薄膜疊層靈敏度(舉例而言,關於折射係數(n)漂移、吸收係數(k)漂移及/或薄膜疊層的厚度(t)漂移及/或薄膜疊層的一材料層的臨界尺寸的變量)及/或其它製程變量度量法。
若該修改後的目標輪廓最小化該成本函數,使其具有適當的製程窗口時(如適當的離焦情況、能量偏差情況及遮罩偏置情況)及/或其它符合該限制層的其它因素),運算微影方法300進行方塊370。在方塊370中,根據該修改後的目標圖案,製造出一遮罩,該修改後的目標圖案對應於該修改後的目標輪廓。可利用任何適當的遮罩製程,製造出該遮罩,用以在一晶圓製造的過程中,當具有該修改後的目標圖案的遮罩被曝光時,一投射晶圓影像(如投射晶圓影像50)的形狀對應該修改後的目標輪廓。在一些實施例中,運算微影方法300確認許多變更目標圖案對應不同的變更目標輪廓,用以在適當的製程窗口下最小化該成本函數。在一可能實施例中,運算微影方法300可更包括選擇具有較佳的製程窗口的修改目標輪廓,根據該修 改後的目標圖案製造出該遮罩,其中該修改後的目標圖案對應具有該較佳的製程窗口的該修改後的目標輪廓。在一些實施例中,該較佳的製程窗口確保製程情況的變化不會造成一積體電路裝置的失敗,如缺陷及/或電效能的不良漂移。以切割圖案314為例,運算微影方法300可產生多個修改後的目標圖案,其對應於目標切割特徵316的輪廓,目標切割特徵316相似於第4圖的切割特徵A及C。運算微影方法300選擇修改後的目標圖案中之一者。在一些實施例中,最佳的製程窗口係取決於該修改後的目標輪廓所代表的一圖案化層的製程條件及/或特性。舉例而言,該修改後的目標輪廓對應一第一圖案層(如IC裝置的一主動層),該第一圖案層沒有拓撲關係,故其具有較小的焦點深度(DoF),因而具有較大的離焦情況。在一可能實施例中,該修改後的目標輪廓對應一閘極圖案層。該閘極圖案層可能要求最小的能量偏差(因而要求高對比度、ILD及/或NILS,用以最小化臨界尺寸的變量),用以最小化臨界尺寸的變量,其可引起電特性的漂移,如速度。在一些實施例中,製程窗口係根據不同的度量法(metrics)來最佳化。舉例而言,可決定不同的度量法(如DoF、ILD及/或MEEF),藉由選擇製程窗口,用以在一或多個方位及/或位置上,最小化及/或最大化許多度量法。在一可能實施例中,在產生該修改後的目標輪廓時,可能將干擾(如離焦、能量偏差及/或遮罩偏差)引進微影模擬中。相較於正常情況,該等干擾會被最小化,用以確保該修改後的目標輪廓符合限制層的規定。
如果修改後的目標輪廓無法最小化成本函數時, 則修改後的目標輪廓無法實現適當的製程窗口(舉例而言,適當的離焦情況、能量偏差情況及遮罩偏置情況)及/或修改後的目標輪廓也可能會不符合限制層的規定,故運算微影方法300回到方塊340並且運算微影方法300可反覆修改目標圖案,直到產生一最佳化的修改目標輪廓。
請參考第7圖,第7圖係為本發明實施例之一佈植圖案400的一簡單示意圖,佈植圖案400經過了運算微影方法,如運算微影方法300,用以產生一最佳化的佈植目標特徵。佈植圖案400包括一目標佈植特徵410,其具有一目標佈植輪廓412,其定義了一佈植製程中在一晶圓材料層內將被植入雜質於該晶圓材料層的一個區域。藉由製造具有佈植圖案400的一佈植遮罩,便可在一積體電路裝置的晶圓材料層中形成摻雜特徵,如主動區,其定義出一基底的裝置區。為了確保所需的功能性,在目標佈植特徵410的一最佳化目標佈植輪廓完整地覆蓋晶圓材料層不需被佈植的區域的同時,目標佈植特徵410的最佳目標佈植輪廓也會完全地曝露出晶圓材料層需要被佈植的區域。第7圖係為了簡單地表現出本發明實施例。在其它的實施例中,可加入其它特徵於佈植圖案400中,並且以下所述的部分特徵係可被置換、更改、或是刪除,以得到佈植圖案400的其它實施例。
在第7圖中,進行運算微影方法300時,根據佈植圖案400的功能性定義一限制層420。舉例而言,限制層420包括禁止區域422、降落區域412、功能區段426以及非功能區段428。禁止區域422定義出佈植圖案400的區域,該些區域是目 標佈植特徵410的最佳目標佈植輪廓所不能接觸及/或覆蓋,用以確保目標佈植特徵410完全地覆蓋晶圓材料層內不需被摻雜的區域。降落區域412定義了佈植圖案400的區域,該些區域需被目標佈植特徵410的最佳化目標佈植輪廓所覆蓋,用以確保佈植特徵410完全地曝露出該晶圓材料層內需要被摻雜的區域。功能區段426包括目標佈植特徵410的邊緣,該等邊緣定義將形成在晶圓材料層的一摻雜特徵的尺寸,如一積體電路設計佈局所定義的摻雜特徵的臨界尺寸。相反地,非功能區段428並不會影響佈植圖案400的功能性,故非功能區段428可被變更,而不會實質地影響積體電路裝置的功能特點。
然後,根據限制層420便可定義出一成本函數。在第7圖中,不利成本1與一空間關係有關,該空間關係為目標佈植特徵410的輪廓和多個禁止區域422中之一者之間(稱為禁止區域A)的空間關係。另外,不利成本2也與一空間關係有關,其中該空間關係為目標佈植特徵410的輪廓和多個降落區域中之一者(稱為降落區域A)之間的空間關係。在一些實施例中,根據目標佈植輪廓412相對於禁止區域A的一位置X定義出一成本函數430。當位置X沒有侵入禁止區域A時,成本函數430最小化不利成本1。舉例而言,成本函數430建立了一成本曲線432,隨著目標佈植特徵410的輪廓侵入禁止區域A,成本曲線432上升。舉例而言,當目標佈植特徵410的輪廓的位置X小於一位置X1時,不利成本1係為一最小值。隨著位置X從位置X1轉換成位置XF時,不利成本1逐漸增加,其中位置XF標示出禁止區域A的邊界。當位置X大於位置XF時,不利成本1明顯上升, 表示目標佈植特徵410的輪廓將會曝露出禁止區域A。在一些實施例中,根據目標佈植輪廓412相對於降落區域A的位置Y定義出一成本函數434,當位置Y沒有完全地覆蓋降落區域A時,成本函數434最小化不利成本2。舉例而言,成本函數434建立一成本曲線436,隨著目標佈植特徵410的輪廓侵入了降落區域A,成本曲線436上升。舉例而言,當目標佈植特徵410的位置Y小於一位置Y1時,不利成本2係為一最小值,隨著位置Y從位置Y1轉變成位置YF時,不利成本2逐漸增加,其中位置YF代表降落區域A的邊界,並且當位置Y大於位置YX時,不利成本2明顯增加,這表示目標佈植特徵410的輪廓不會曝露出降落區域A。
然後,運算微影方法300可以執行方塊340~360,並更改佈植圖案400,直到產生一修改後的目標佈植輪廓,其最小化不利成本1及/或不利成本2,同時具有合適的製程窗口。舉例而言,運算微影方法反覆修改佈植圖案400,直到產生一最佳化的目標佈植輪廓440,其最小化不利成本1及/或不利成本2,並同時有助於適當的製程窗口。在第7圖中,最佳化的目標佈植輪廓440完全地覆蓋禁止區域422,並完全地曝露出降落區域424。最佳化的佈植輪廓440也包括邊界,其顯示出目標佈植輪廓412於功能區段的最小變化,確保利用佈植圖案400所製造出的一佈植遮罩在修改時,會符合臨界尺寸及/或覆蓋IC設計佈局所要求的摻雜特徵。
請參考第8圖,第8圖為本發明實施例之一接點圖案500的簡單示意圖,接點圖案500(contact pattern)係經了運算微影方法,如運算微影方法300,用以產生一最佳化的接點特 徵。接點圖案500覆蓋一晶圓材料層510。晶圓材料層510具有閘極特徵512以及源/汲極特徵514。接點圖案500包括一目標接點特徵520,其具有一目標接點輪廓522,目標接點輪廓522定義接點區域。具有接點圖案500的一接點遮罩用以形成晶圓材料層510上面的一接點,該接點用以連接閘極特徵512及/或源/汲極特徵514。在一些實施例中,該接點係為一毗連式接點(butted contact;BCT),用以連接一靜態隨機存取記憶體(SRAM)的閘極與源/汲極。為了確保所需的功能性,目標接點特徵520的一最佳化的目標接點輪廓充分地曝露閘極特徵512及源/汲極特徵514的區域,用以達到電性連接,當沒有曝露出閘極特徵512及源/汲極特徵514的區域時,會降低裝置效能(舉例而言,造成電性短路)。第8圖簡單地表示出本發明實施例的特徵。接點圖案500可加入額外特徵,並且在接點圖案500的其它可能實施例中,以下所述的一些特徵可被取代、更改或是刪除。
在第8圖中,進行運算微影方法300時,根據接點圖案500的功能性可定義出一限制層530。舉例而言,限制層530包括禁止區域532以及降落區域534。禁止區域532在接點圖案500中定義出多個區域,其中目標接點特徵520的最佳化目標接點輪廓並不會曝露出該等區域,用以確保目標接點特徵520完全地覆蓋晶圓材料層510中不打算作為電性連接的區域。降落區域534也在接點圖案500中,定義出多個區域,其中目標接點特徵520的最佳化目標接點輪廓必須曝露降落區域534所定義的區域,以確保目標接點特徵520充分地曝露出晶圓材料層510中打算電性接點的區域。相較於其它實施例,限制層530並不 會在目標接點特徵520中,定義出任何功能區段。雖然沒有畫出,但運算微影方法300接著根據限制層530產生一成本函數,其定義了第一不利成本以及第二不利成本,第一不利成本與禁止區域532與目標接點特徵520的輪廓之間的一空間關係有關,第二不利成本與降落區域534和目標接點特徵520的輪廓之間的一空間關係有關。運算微影方法300可接著進行方塊340~360,修改接點圖案500,用以產生許多修改後的目標接點輪廓,其可在適合的製程窗口下最小化成本函數。舉例而言,在第8圖中,運算微影方法300可產生不同的接點圖案500,其對應一相似圓形的目標接點輪廓540、一相似楕圓形狀的目標接點輪廓542以及一傾斜的相似楕圓形狀的目標接點輪廓544,該等接點輪廓皆可最小化成本函數,同時達到適合的製程窗口,並且不管閘極特徵512與源/汲極特徵514的形狀為何,該等接點輪廓均可電性連接閘極特徵512與源/汲極特徵514。在一些實施例中,在方塊370中,選擇具有最佳製程窗口之一合適的接點圖案500,其對應修改後的目標接點輪廓(如540、542或544),用以產生一遮罩。
請參考第9圖,第9圖係為根據本發明實施例之一目標最佳化系統600的簡單方塊圖,其可由第1圖的IC製造系統10所執行。在一些實施例中,遮罩室20實現一目標最佳化系統600,用以進行第1圖所述的遮罩資料準備40。目標最佳化系統600包括硬體及軟體,用以執行不同的操作及/或功能,用以產生上述的最佳化目標圖案。在一些實施例中,一運算微影程序(如第5圖的運算微影程序300)可能被寫成軟體指令,並在目標 最佳化系統600上執行。第9圖已簡單且清楚地描述本發明實施例的概念。可將額外特徵加在目標最佳化系統600中,並且在其它實施例中,也可置換或刪除目標最佳化系統600的其它特徵。
目標最佳化系統600包括一處理器602,其耦接一系統記憶體604、一主要儲存裝置606以及一通信模組608。系統記憶體604提供具有非暫態電腦可讀取儲存媒體的處理器602,利用處理器602執行電腦指令。系統記憶體604可能包括隨機存取記憶體(RAM)裝置,如動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SDRAM)、固態記憶裝置及/或其它種類的記憶裝置。主要儲存裝置606用以儲存電腦程式、指令及資料。主要儲存裝置606可能包括、硬碟、光碟、磁光碟、固態儲存裝置及/或其它主要儲存裝置。通信模組608用以在積體電路製造實體(如積體電路製造系統10的設計室15、遮罩室20以及積體電路製造廠25)的許多不同元件間進行資訊傳輸。在第9圖中,通信模組608允許目標最佳化系統600與一遮罩製造系統(如電子束微影系統)以及一晶圓製造系統(如第2圖的光微影系統60)進行通訊。通信模組608包括乙太網路卡、802.11無線裝置、蜂巢式資料無線電裝置及/或是其它通信裝置,用以協助具有積體電路製造實體的目標最佳化系統600的通訊。
目標最佳化系統600更包括一積體電路設計佈局模組620、一遮罩拼接(mask fracturing)模組625一積體電路資料收集模組630、一積體電路製造資料庫635、一目標最佳化模型模組640以及一目標最佳化製造模組645,用以完成一目標最 佳化製程(如運算微影方法300)。在運作時,積體電路設計佈局模組620接收一積體電路設計佈局,其定義一目標圖案(舉例而言,來自設計室15)並準備該積體電路設計佈局,以便進行一目標最佳化製程。積體電路資料收集模組630用以收集、儲存並維持積體電路製造資料,如來自遮罩室20的遮罩製造處理的資料以及來自積體電路製造廠25的晶圓製造處理的資料。積體電路製造資料可儲存於積體電路製造資料庫635中。在一些實施例中,積體電路資料收集模組330分析收集到的積體電路製造資料。在其它實施例中,可藉由濾除低品質的積體電路製造資料(如不可靠的資料),分析收集到的積體電路製造資料及/或將製造資料合併成有用的統計的積體電路製造資訊(如平均值)。在一些實施例中,收集的積體電路製造資料包括電子束模糊資訊、光阻特性資訊(如顯影處理後的光阻圖案的臨界尺寸)、蝕刻偏差資料(如蝕刻處理後的晶圓的臨界尺寸)及/或其它有用的積體電路製造資料,但並不限定於此。
目標最佳化模型模組640用以建立一限制層及/或根據該限制層的一成本函數。目標最佳化模型模組640可利用積體電路製造資料,產生該限制層,如積體電路製造資料庫635所儲存的資料。目標最佳化模型模組640可儲存該限制層及/或成本函數於一目標最佳化資料庫(未顯示)中。在一些實施例中,目標最佳化模型模組640執行運算微影方法300的許多操作,如上述的方塊320~330。尤其目標最佳化模型模組640利用積體電路設計佈局及/或收集到的積體電路製造資料,建立出該限制層。目標最佳化模組645利用目標最佳化模型進行一目標最佳 化處理(如運算微影方法300),其中目標最佳化模組645根據該限制層及成本函數最佳化目標圖案。在一些實施例中,目標最佳化模組645執行運算微影方法300的許多操作,如上述的方塊340~360。在其它實施例中,遮罩拼接模組625根據最佳化後的目標圖案(對應一最佳化的目標輪廓)所定義的一遮罩圖案產生一遮罩射圖(mask shot map),舉例而言,藉由製造該最佳化的目標圖案以形成遮罩區域(遮罩多邊形)。遮罩射圖定義出每一遮罩區域的曝光資訊,如曝光劑量。在另一可能實施例中,遮罩拼接模組625可被省略,故目標最佳化模組645產生遮罩射圖,並供遮罩室20使用。
上述目標最佳化方法用以增加微影適性。在一可能實施例中,目標最佳化方法包括接收一積體電路設計佈局的一目標圖案,其中目標圖案具有一對應的目標輪廓;修改目標圖案,其中修改後的目標圖案具有一對應的修改目標輪廓;以及當修改後的目標圖案達到一限制層對目標圖案所定義的功能性時,產生一最佳化的目標圖案。目標最佳化方法可能更包括根據限制層定義出一成本函數,其中成本函數與目標圖案的輪廓及限制層之間的一空間關係有關。在一些實施例中,目標最佳化方法更包括,根據最佳化的目標圖案製造出一遮罩。在其它實施例中,目標最佳化方法更包括利用遮罩製造一晶圓。
在一些實施例中,當修改後的目標輪廓最小化成本函數時,便可產生最佳化的目標圖案。在其它實施例中,當修改後的目標輪廓的位置接近限制層的一邊界時,成本函數的不利成本將會增加。在一些實施例中,限制層包括目標圖案的 一禁止區域,其中禁止區域定義出在目標圖案中不會被修改後的目標輪廓露出的部分。在其它實施例中,限制層包括目標圖案的一降落區域,其中降落區域定義出在目標圖案中需被修改後的目標輪廓露出的部分。在一些實施例中,限制層包括目標輪廓的一功能區段,其中功能區段限制目標圖案的功能性,並且在產生最佳化的目標圖案時,修改後的目標輪廓在功能區段係符合目標輪廓。
在另一可能實施例中,目標最佳化方法包括接收一積體電路設計佈局的一目標圖案,其中目標圖案具有一相應的目標輪廓;根據目標圖案的一功能性定義一限制層;根據限制層定義一成本函數;修改目標圖案,其中修改後的目標圖案具有一對應修改的目標輪廓;以及在修改後的目標輪廓最小化成本函數時,產生一最佳化的目標圖案。在一些實施例中,目標最佳化方法更包括模擬一微影程序,用以產生修改後的目標輪廓,其中微影程序利用具有修改後的目標圖案之一遮罩。在其它實施例中,成本函數與目標圖案的輪廓和限制層之間的空間關係有關。在一些實施例中,定義限制層的方法包括定義目標圖案的一禁止區域,其中禁止區域定義了目標圖案中不會被修改後的目標輪廓露出的部分。在此例中,定義成本函數的步驟包括定義出目標輪廓與禁止區域的一空間關係,其中成本函數的不利成本會隨著修改後的目標輪廓接近禁止區域的邊界而隨之增加。在其它實施例中,定義限制層的步驟包括定義該目標圖案的一降落區域,降落區域定義出目標圖案需要被修改後的目標輪廓露出的區域。在此例中,定義成本函數的步驟包 括建立目標輪廓與降落區域之間的一空間關係,其中成本函數的不利成本隨著修改後的目標輪廓接近降落區域的邊界而隨之增加。
在一可能實施例中,積體電路系統包括一處理器以及一通信模組。通信模組耦接處理器,並用以接收一目標圖案之一積體電路設計佈局。積體電路系統也包括非暫態電腦可讀取儲存媒體,其耦接處理器並包括多個指令,這些指令可由處理器所執行。這些指令的部分指令係用以修改目標圖案,其中修改後的目標圖案具有一對應的修改目標輪廓。這些指令的部分指令係用以在修改後的目標輪廓達到一限制層對目標圖案所定義的功能性時,產生一最佳化的目標圖案。在一些實施例中,這些指令的部分指令係用以根據限制層定義出一成本函數,其中成本函數與一空間關係有關,而空間關係係指目標圖案的輪廓與限制層之間的空間關係。在其它實施例中,這些指令的部分係用以定義出限制層,其中限制層包括目標圖案的一禁止區域,禁止區域定義出目標圖案不會被修改後的目標輪廓露出的區域。在一些實施例中,這些指令的部分係用以反覆修改目標圖案,直到修改後的目標圖案達到限制層所定義的目標圖案的功能性。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本申請案作為基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明實施例之 精神與範疇,並可在未脫離本發明實施例之精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種目標最佳化方法,包括:接收一積體電路設計佈局的一目標圖案,其中目標圖案具有一對應之目標輪廓;修改該目標圖案,其中該修改後的目標圖案具有一對應之修改目標輪廓;以及當該修改後的目標圖案達到一限制層對該目標圖案所定義的功能性時,產生一最佳化的目標圖案。
TW106136694A 2016-12-14 2017-10-25 目標最佳化方法 TWI742184B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/379,084 2016-12-14
US15/379,084 US10083270B2 (en) 2016-12-14 2016-12-14 Target optimization method for improving lithography printability

Publications (2)

Publication Number Publication Date
TW201821899A true TW201821899A (zh) 2018-06-16
TWI742184B TWI742184B (zh) 2021-10-11

Family

ID=62490231

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106136694A TWI742184B (zh) 2016-12-14 2017-10-25 目標最佳化方法

Country Status (3)

Country Link
US (1) US10083270B2 (zh)
CN (1) CN108227393B (zh)
TW (1) TWI742184B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765277B (zh) * 2019-07-03 2022-05-21 荷蘭商Asml荷蘭公司 用於在半導體製造程序中應用沉積模型之方法
TWI774307B (zh) * 2020-05-21 2022-08-11 台灣積體電路製造股份有限公司 用於確定與目標電路有關的約束的系統和方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10527928B2 (en) * 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
KR20200044524A (ko) 2018-10-19 2020-04-29 삼성전자주식회사 라인 엔드 보이드 방지를 광 근접 보정 방법 및 이를 이용한 리소그래피 마스크 제조 방법
KR102595606B1 (ko) 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
US11092899B2 (en) * 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
JP2022523747A (ja) * 2019-01-30 2022-04-26 深▲せん▼晶源信息技術有限公司 図形画像結合最適化のフォトエッチングマスクの最適化方法、装置および電子装置
TWI762216B (zh) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 測試半導體圖案的方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5465220A (en) * 1992-06-02 1995-11-07 Fujitsu Limited Optical exposure method
US7313508B2 (en) * 2002-12-27 2007-12-25 Lsi Corporation Process window compliant corrections of design layout
NL1036544A1 (nl) * 2008-02-21 2009-08-24 Asml Netherlands Bv A lithographic apparatus having a chuck with a visco-elastic damping layer.
US8003281B2 (en) * 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US8146026B2 (en) 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
CN102193306B (zh) * 2010-03-11 2012-09-05 中芯国际集成电路制造(上海)有限公司 设计光掩膜版的方法
WO2012071574A2 (en) * 2010-11-24 2012-05-31 Visa International Service Association Unified online content manager apparatuses, methods, and systems
US9005852B2 (en) * 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8527918B2 (en) 2011-09-07 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Target-based thermal design using dummy insertion for semiconductor devices
JP6000602B2 (ja) * 2012-03-30 2016-09-28 キヤノン株式会社 体検出方法及び物体検出装置
KR20160075712A (ko) * 2013-10-25 2016-06-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 패터닝 디바이스, 및 리소그래피 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI765277B (zh) * 2019-07-03 2022-05-21 荷蘭商Asml荷蘭公司 用於在半導體製造程序中應用沉積模型之方法
TWI774307B (zh) * 2020-05-21 2022-08-11 台灣積體電路製造股份有限公司 用於確定與目標電路有關的約束的系統和方法

Also Published As

Publication number Publication date
TWI742184B (zh) 2021-10-11
CN108227393A (zh) 2018-06-29
US20180165397A1 (en) 2018-06-14
CN108227393B (zh) 2021-11-23
US10083270B2 (en) 2018-09-25

Similar Documents

Publication Publication Date Title
TWI742184B (zh) 目標最佳化方法
CN108205600B (zh) 掩模优化方法及集成电路系统
CN109582995B (zh) 集成电路制造方法及其制造系统
US9869939B2 (en) Lithography process
US10417376B2 (en) Source beam optimization method for improving lithography printability
JP5198588B2 (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
US6578190B2 (en) Process window based optical proximity correction of lithographic images
US10520829B2 (en) Optical proximity correction methodology using underlying layer information
JP4464365B2 (ja) 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム
TWI475334B (zh) 微影裝置之整合及具多重圖案化製程之光罩最佳化製程
US20080113280A1 (en) Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask
KR100920857B1 (ko) 레지스트 패턴 형상 예측 방법, 레지스트 패턴 형상을예측하는 프로그램을 기억한 컴퓨터 판독가능한 기록 매체및 레지스트 패턴 형상을 예측하는 컴퓨터
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
CN110716386B (zh) 一种光学临近效应的修正方法、修正装置及掩模
CN110943022A (zh) 半导体装置的制造方法
TWI385546B (zh) 用以最佳化形成於基板上之設計的方法及程式產品
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
CN112445081A (zh) 用于减少因曝光不均匀所导致的布局失真的方法与系统
US8769445B2 (en) Method for determining mask operation activities