CN107958933A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN107958933A
CN107958933A CN201610900638.XA CN201610900638A CN107958933A CN 107958933 A CN107958933 A CN 107958933A CN 201610900638 A CN201610900638 A CN 201610900638A CN 107958933 A CN107958933 A CN 107958933A
Authority
CN
China
Prior art keywords
insulating layer
layer
grid
fin
remaining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610900638.XA
Other languages
English (en)
Other versions
CN107958933B (zh
Inventor
赵海
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610900638.XA priority Critical patent/CN107958933B/zh
Priority to EP17196526.2A priority patent/EP3309842A1/en
Priority to US15/786,250 priority patent/US10256153B2/en
Publication of CN107958933A publication Critical patent/CN107958933A/zh
Priority to US16/291,846 priority patent/US10804157B2/en
Application granted granted Critical
Publication of CN107958933B publication Critical patent/CN107958933B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)

Abstract

本发明公开了一种半导体装置及其制造方法,涉及半导体技术领域。该方法包括:提供衬底结构,包括:衬底、在衬底上沿第一方向延伸的鳍片和在鳍片周围的隔离区;隔离区包括位于鳍片在第一方向上的侧面的第一隔离区和位于鳍片在第二方向上的侧面的第二隔离区;在衬底结构上依次形成第一和第二绝缘层;形成覆盖第一隔离区上方的第二绝缘层的阻挡层;以阻挡层为掩模执行刻蚀工艺以去除暴露的第二绝缘层;去除阻挡层;以剩余的第二绝缘层为掩模执行刻蚀工艺以去除暴露的第一绝缘层;在剩余的第一和第二绝缘层的侧壁上形成第三绝缘层;以剩余的第二和第三绝缘层为掩模执行刻蚀工艺以去除第二隔离区的一部分;去除剩余的第二绝缘层和第三绝缘层。

Description

半导体装置及其制造方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种半导体装置及其制造方法。
背景技术
在鳍式场效应晶体管(Fin Field Effect Transistor,FinFET)中,为了控制关键尺寸的一致性(CD uniformity),可能会在浅沟槽隔离区(STI)上形成伪栅结构。另外,为了改善FinFET器件的性能,通常需要对与伪栅结构邻近的鳍片的端部进行刻蚀以形成凹陷,进而通过在凹陷中外延生长半导体材料来向沟道引入应力。
但是,通常情况下,由于STI区要比鳍片低,因此STI区上的伪栅结构的底部相对于鳍片也更靠下。如果套刻精度或工艺有偏差,在STI区上形成的伪栅结构可能会偏移,从而使得伪栅结构与鳍片搭起来,也即形成桥(bridge),这可能会造成漏电现象,从而降低器件的可靠性。另外,伪栅结构的偏移还会对外延生长的半导体材料的轮廓造成影响,这会降低向沟道引入的应力大小,从而降低器件的载流子的迁移率,从而降低了器件性能。
发明内容
本发明的一个目的在于提高器件的可靠性。
本发明的另一个目的在于提高器件载流子的迁移率。
根据本发明的一个实施例,提供了一种半导体装置的制造方法,包括:提供衬底结构,所述衬底结构包括:衬底;位于衬底上沿着第一方向延伸的一个或多个鳍片;和位于所述鳍片周围的隔离区;其中,所述隔离区的上表面与所述鳍片的上表面基本齐平,所述隔离区包括第一隔离区和第二隔离区,所述第一隔离区位于所述鳍片在所述第一方向上的侧面,所述第二隔离区位于所述鳍片在不同于所述第一方向的第二方向上的侧面;在所述衬底结构上依次形成第一绝缘层和第二绝缘层;形成覆盖所述第一隔离区上方的第二绝缘层的阻挡层;以所述阻挡层为掩模执行第一刻蚀工艺,以去除暴露的第二绝缘层;去除所述阻挡层;以剩余的第二绝缘层为掩模执行第二刻蚀工艺,以去除暴露的第一绝缘层;在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成第三绝缘层;以剩余的第二绝缘层和所述第三绝缘层为掩模执行第三刻蚀工艺,以去除所述第二隔离区的一部分;去除剩余的第二绝缘层和所述第三绝缘层。
在一个实施例中,所述阻挡层还覆盖与所述第一隔离区邻接的鳍片的端部的上方的第二绝缘层。
在一个实施例中,所述在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成第三绝缘层包括:沉积绝缘材料层,以覆盖未被剩余的第一绝缘层和剩余的第二绝缘层覆盖的区域、剩余的第一绝缘层和剩余的第二绝缘层的侧壁、以及剩余的第二绝缘层的表面;通过各向异性刻蚀工艺去除未被剩余的第一绝缘层和剩余的第二绝缘层覆盖的区域以及剩余的第二绝缘层的表面上的绝缘材料层,剩余的绝缘材料层作为所述第三绝缘层。
在一个实施例中,所述第一绝缘层和所述第二绝缘层具有不同的蚀刻选择比。
在一个实施例中,所述第一绝缘层是氧化物层;所述第二绝缘层是氮化物层;所述第三绝缘层是氮化物层。
在一个实施例中,所述提供衬底结构的步骤包括:提供初始衬底;在所述初始衬底上形成图案化的硬掩模;以所述硬掩模为掩模对所述初始衬底进行刻蚀,从而形成衬底和位于衬底上的一个或多个鳍片;沉积隔离材料以填充鳍片周围的空间,所述隔离材料的上表面与所述硬掩模的上表面基本齐平;对所述隔离材料进行回刻,以露出所述硬掩模;去除所述硬掩模,从而形成所述衬底结构。
在一个实施例中,所述初始衬底包括初始半导体层和位于所述初始半导体层上的初始氧化物层;所述鳍片包括半导体层和位于所述半导体层上的氧化物层。
在一个实施例中,所述方法还包括:在所述鳍片上形成第一栅极结构,并且在剩余的第一绝缘层上形成第二栅极结构。
在一个实施例中,所述方法还包括:以所述第一栅极结构和所述第二栅极结构为掩模,刻蚀所述第一栅极结构两侧的鳍片以形成凹陷;在所述凹陷中外延生长半导体材料以形成源区和漏区。
在一个实施例中,所述半导体材料包括SiGe或SiC。
在一个实施例中,所述第一栅极结构包括在所述鳍片的表面上的第一栅极电介质层、在所述第一栅极电介质层上的第一栅极、在所述第一栅极上的第一硬掩模层、以及在所述第一栅极电介质层、所述第一栅极和所述第一硬掩模层的侧壁上的第一间隔物;所述第二栅极结构包括在所述剩余的第一绝缘层上的第二栅极电介质层、在所述第二栅极电介质层上的第二栅极、在所述第二栅极上的第二硬掩模层、以及在所述第二栅极电介质层、所述第二栅极和所述第二硬掩模层的侧壁上的第二间隔物,所述第二间隔物覆盖与所述第二栅极结构下方的第一隔离区邻接的鳍片的端部。
在一个实施例中,所述第一栅极电介质层和所述第二栅极电介质层的材料包括氧化硅;所述第一栅极和所述第二栅极的材料包括多晶硅;所述第一硬掩模层和所述第二硬掩模层的材料包括氮化硅。
根据本发明的另一个实施例,提供了一种半导体装置,包括:衬底;位于衬底上沿着第一方向延伸的一个或多个鳍片;位于所述鳍片周围的隔离区,所述隔离区包括第一隔离区和第二隔离区,所述第一隔离区位于所述鳍片在所述第一方向上的侧面,所述第二隔离区位于所述鳍片在不同于所述第一方向的第二方向上的侧面,所述第一隔离区的上表面与所述鳍片的上表面基本齐平,所述第二隔离区的上表面低于所述鳍片的上表面;和第一绝缘层,位于所述第一隔离区上。
在一个实施例中,所述第一绝缘层还覆盖与所述第一隔离区邻接的鳍片的端部。
在一个实施例中,所述装置还包括:在所述鳍片上的第一栅极结构,以及在所述第一绝缘层上的第二栅极结构。
在一个实施例中,所述装置还包括:在所述第一栅极结构两侧通过外延生长半导体材料形成的源区和漏区。
在一个实施例中,所述半导体材料包括SiGe或SiC。
在一个实施例中,所述第一栅极结构包括在所述鳍片的表面上的第一栅极电介质层、在所述第一栅极电介质层上的第一栅极、在所述第一栅极上的第一硬掩模层、以及在所述第一栅极电介质层、所述第一栅极和所述第一硬掩模层的侧壁上的第一间隔物;所述第二栅极结构包括在所述剩余的第一绝缘层上的第二栅极电介质层、在所述第二栅极电介质层上的第二栅极、在所述第二栅极上的第二硬掩模层、以及在所述第二栅极电介质层、所述第二栅极和所述第二硬掩模层的侧壁上的第二间隔物,所述第二间隔物覆盖与所述第二栅极结构下方的第一隔离区邻接的鳍片的端部。
在一个实施例中,所述第一栅极电介质层和所述第二栅极电介质层的材料包括氧化硅;所述第一栅极和所述第二栅极的材料包括多晶硅;所述第一硬掩模层和所述第二硬掩模层的材料包括氮化硅。
根据本发明的实施例,得到了高度不同的第一隔离区与第二隔离区,由于第一隔离区的上表面与鳍片的上表面基本齐平,并且在第一隔离区上形成了第一绝缘层,因此后续在第一绝缘层上形成的伪栅结构(对应后续的第二栅极结构)即使偏离也不会与鳍片搭成桥,减轻了伪栅结构与鳍片搭成桥造成的漏电现象,提高了器件的可靠性;此外,伪栅结构即使偏离也不会对外延生长的半导体材料的形貌造成影响,也就不会影响向沟道引入的应力,提高了器件载流子的迁移率。此外,在去除第二隔离区的一部分之前在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成了第三绝缘层,从而使得在去除第二隔离区的一部分时不会去除剩余的第一绝缘层。
通过以下参照附图对本发明的示例性实施例的详细描述,本发明的其它特征、方面及其优点将会变得清楚。
附图说明
附图构成本说明书的一部分,其描述了本发明的示例性实施例,并且连同说明书一起用于解释本发明的原理,在附图中:
图1是根据本发明一个实施例的半导体装置的制造方法的简化流程图;
图2A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图2B示出了图2A所示阶段的沿着第二方向的截面图;
图3A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图3B示出了图3A所示阶段的沿着第二方向的截面图;
图4示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图5A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图5B示出了图5A所示阶段的沿着第二方向的截面图;
图6示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图7A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图7B示出了图7A所示阶段的沿着第二方向的截面图;
图8A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图8B示出了图8A所示阶段的沿着第二方向的截面图;
图9A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图9B示出了图9A所示阶段的沿着第二方向的截面图;
图10A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图10B示出了图10A所示阶段的沿着第二方向的截面图;
图11A示出了根据本发明一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图11B示出了图11A所示阶段的沿着第二方向的截面图;
图12示出了根据本发明另一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图13示出了根据本发明另一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图;
图14示出了根据本发明另一个实施例的半导体装置的制造方法的一个阶段的沿着第一方向的截面图。
图15A示出了根据本发明一个实施例的形成衬底结构的一个阶段的沿着第一方向的截面图;
图15B示出了图15A所示阶段的沿着第二方向的截面图;
图16A示出了根据本发明一个实施例的形成衬底结构的一个阶段的沿着第一方向的截面图;
图16B示出了图16A所示阶段的沿着第二方向的截面图;
图17A示出了根据本发明一个实施例的形成衬底结构的一个阶段的沿着第一方向的截面图;
图17B示出了图17A所示阶段的沿着第二方向的截面图;
图18A示出了根据本发明一个实施例的形成衬底结构的一个阶段的沿着第一方向的截面图;
图18B示出了图18A所示阶段的沿着第二方向的截面图;
图19A示出了根据本发明一个实施例的形成衬底结构的一个阶段的沿着第一方向的截面图;
图19B示出了图19A所示阶段的沿着第二方向的截面图。
具体实施方式
现在将参照附图来详细描述本发明的各种示例性实施例。应理解,除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不应被理解为对本发明范围的限制。
此外,应当理解,为了便于描述,附图中所示出的各个部件的尺寸并不必然按照实际的比例关系绘制,例如某些层的厚度或宽度可以相对于其他层有所夸大。
以下对示例性实施例的描述仅仅是说明性的,在任何意义上都不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和装置可能不作详细讨论,但在适用这些技术、方法和装置情况下,这些技术、方法和装置应当被视为本说明书的一部分。
应注意,相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义或说明,则在随后的附图的说明中将不需要对其进行进一步讨论。
图1为根据本发明一个实施例的半导体装置的制造方法的简化流程图。图2A-图11示出了根据本发明一个实施例的半导体装置的制造方法的不同阶段的截面图。下面结合图1、以及图2A-图11对根据本发明一个实施例的半导体装置的制造方法进行说明。
如图1所示,首先,在步骤102,提供衬底结构。图2A示出了根据本发明一个实施例的衬底结构沿着第一方向的截面图。图2B是沿着图2A中的B-B’截取的截面图,也可以称为沿着第二方向的截面图。
如图2A和图2B所示,衬底结构包括衬底201,衬底201例如可以是硅衬底、绝缘体上硅(SOI)衬底、III-V族半导体材料的衬底等。
衬底结构还包括位于衬底201上沿着第一方向延伸的一个或多个鳍片202。这里的第一方向是鳍片202延伸的方向,也可以称为沿着沟道的方向。注意,鳍片202的材料可以与衬底相同,也可以与衬底不同。
衬底结构还包括位于鳍片202周围的隔离区。这里,隔离区的上表面与鳍片202的上表面基本齐平,也即,在工艺偏差范围内的齐平。隔离区包括第一隔离区213和第二隔离区223,其中,第一隔离区213位于鳍片202在第一方向上的侧面,第二隔离区223位于鳍片202在不同于第一方向的第二方向上的侧面。这里,第二方向例如可以是与第一方向基本垂直的方向,也可以称为垂直于沟道的方向。第一隔离区213可以位于鳍片202在第一方向上的两侧中的任意一侧,也可以位于鳍片202在第一方向上的两侧。类似地,第二隔离区223可以位于鳍片202在第二方向上的两侧中的任意一侧,也可以位于鳍片202在第二方向上的两侧。在一个实施例中,隔离区的材料可以是诸如氧化物、氮化物、氮氧化物等的电介质材料。
然后,在步骤104,在衬底结构上依次形成第一绝缘层301和第二绝缘层302,如图3A和图3B所示。优选地,第一绝缘层301和第二绝缘层302可以具有不同的蚀刻选择比。在一个具体实现方式中,第一绝缘层301可以是氧化物层,例如氧化硅层;第二绝缘层302可以是氮化物层,例如氮化硅层。应理解,第一绝缘层301和第二绝缘层302并不限于上面给出的具体例子。
接下来,在步骤106,形成覆盖第一隔离区213上方的第二绝缘层302的阻挡层401,如图4所示。在一个实施例中,阻挡层401还可以覆盖与第一隔离区213邻接的鳍片202的端部的上方的第二绝缘层302。换而言之,阻挡层401在第一方向上的宽度大于或等于第一隔离区213在第一方向上的宽度。在一个实现方式中,可以在第二绝缘层302上涂覆光刻胶,然后对光刻胶进行图案化以形成阻挡层401,例如,可以通过单扩散区切断隔离(SingleDiffusion Break,SDB)技术对光刻胶进行图案化。
需要指出的是,图4仅示出了阻挡层401位于两个鳍片之间的第一隔离区213上的情况。在其他的实施例中,鳍片在第一方向上的另一侧的隔离区上也可以类似地形成阻挡层401。
接下来,在步骤108,以阻挡层401为掩模执行第一刻蚀工艺,以去除暴露的第二绝缘层302,第二绝缘层被阻挡层401覆盖的部分302A保留下来(也即,剩余的第三绝缘层302A),如图5A和5B所示。在一个实施例中,第一刻蚀工艺可以采用干法刻蚀或湿法刻蚀来执行。在一个实施例中,第一刻蚀工艺可以停止在第一绝缘层301。
然后,在步骤110,去除阻挡层401,从而露出剩余的第二绝缘层302A,如图6所示。
之后,在步骤112,以剩余的第二绝缘层302A为掩模执行第二刻蚀工艺,以去除暴露的第一绝缘层,第一绝缘层被剩余的第二绝缘层302A覆盖的部分301A保留下来(也即,剩余的第一绝缘层301A),如图7A和7B所示。在一个实施例中,第二刻蚀工艺可以停止在鳍片202和位于鳍片202侧面的隔离区。
之后,在步骤114,在剩余的第一绝缘层301A和剩余的第二绝缘层302A的侧壁上形成第三绝缘层。在一个实现方式中,如图8A和图8B所示,沉积绝缘材料层801,以覆盖未被剩余的第一绝缘层301A和剩余的第二绝缘层302A覆盖的区域、剩余的第一绝缘层301A和剩余的第二绝缘层302A的侧壁、以及剩余的第二绝缘层302A的表面;然后,如图9A和图9B所示,通过各向异性刻蚀工艺去除未被剩余的第一绝缘层301A和剩余的第二绝缘层302A覆盖的区域以及剩余的第二绝缘层302A的表面上的绝缘材料层,剩余的绝缘材料层801A作为第三绝缘层。因此,在后文的描述中,第三绝缘层也称为第三绝缘层801A。优选地,第三绝缘层801A与第二绝缘层302的材料相同。在一个实施例中,第三绝缘层801A与第二绝缘层302的材料可以是氮化物层,例如氮化硅层。
在一些实施例中,第三绝缘层801A还可以形成在剩余的第二绝缘层302A的表面上。相应地,在沉积绝缘材料层801后,可以去除剩余的第二绝缘层302A的表面上的绝缘材料层的一部分,从而在剩余的第二绝缘层302A的表面上保留一部分绝缘材料层。
之后,在步骤116,以剩余的第二绝缘层302A和第三绝缘层801A为掩模执行第三刻蚀工艺,以去除第二隔离区223的一部分,使得剩余的第二隔离区223的上表面低于鳍片202的上表面,如图10A和10B所示。在第三刻蚀工艺中,第一绝缘层被剩余的第二绝缘层302A和第三绝缘层801A覆盖的部分301A保留下来(也即,剩余的第一绝缘层301A)。第三绝缘层801A的存在使得剩余的第一绝缘层301A在第三刻蚀工艺中不会被去除。第三刻蚀工艺去除了除第一隔离区之外的其他隔离区(例如第二隔离区、以及位于鳍片在第一方向上与第一隔离区相对的另一侧的隔离区)的一部分,使得其他隔离区剩余的部分的上表面低于鳍片的上表面。
之后,在步骤118,去除剩余的第二绝缘层302A和第三绝缘层801A,保留在第一隔离区213上剩余的第一绝缘层301A,如图11A和图11B所示。
如上描述了根据本发明一个实施例的半导体装置的制造方法。根据该方法得到了高度不同的第一隔离区与第二隔离区,由于第一隔离区的上表面与鳍片的上表面基本齐平,并且在第一隔离区上形成了第一绝缘层,因此后续在第一绝缘层上形成的伪栅结构(对应后续的第二栅极结构)即使偏离也不会与鳍片搭成桥,减轻了伪栅结构与鳍片搭成桥造成的漏电现象,提高了器件的可靠性;此外,伪栅结构即使偏离也不会对外延生长的半导体材料的形貌造成影响,也就不会影响向沟道引入的应力,提高了器件载流子的迁移率。此外,在去除第二隔离区的一部分之前在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成了第三绝缘层,从而使得在去除第二隔离区的一部分时不会去除剩余的第一绝缘层。
根据上述方法得到了一种半导体装置,下面结合图11A和图11B进行说明。
如图11A和图11B所示,半导体装置包括:衬底201;位于衬底上沿着第一方向延伸的一个或多个鳍片202;以及位于鳍片周围的隔离区。隔离区包括第一隔离区213和第二隔离区223,其中,第一隔离区213位于鳍片202在第一方向上的侧面,第二隔离区223位于鳍片223在不同于第一方向的第二方向上的侧面,并且,第一隔离区213的上表面与鳍片202的上表面基本齐平,第二隔离区223的上表面低于鳍片202的上表面。半导体装置还包括位于第一隔离区213上的第一绝缘层301A。在一个实施例中,第一绝缘层301A还可以覆盖与第一隔离区213邻接的鳍片202的端部。
在形成图11A和图11B所示的半导体装置后,在一个实施例中,上述方法还可以包括:在鳍片202上形成第一栅极结构1201,并且在剩余的第一绝缘层301A上形成第二栅极结构1202,如图12所示。这里,第二栅极结构通常为伪栅结构。
在一个实施例中,第一栅极结构1201可以包括在鳍片202的表面上的第一栅极电介质层1211,例如氧化硅等;在第一栅极电介质层1211上的第一栅极1221,例如多晶硅等;在第一栅极1221上的第一硬掩模层1231,例如氮化硅等;以及在第一栅极电介质层1211、第一栅极1221和第一硬掩模层1231的侧壁上的第一间隔物1241,例如氧化硅或氮化硅等。在一个实施例中,第二栅极结构1202可以包括在剩余的第一绝缘层301A上的第二栅极电介质层1212,例如氧化硅等;在第二栅极电介质层1212上的第二栅极1222,例如多晶硅等;在第二栅极1222上的第二硬掩模层1232,例如氮化硅等;以及在第二栅极电介质层1212、第二栅极1222和第二硬掩模层1232的侧壁上的第二间隔物1242,例如氧化硅或氮化硅等。这里,第二间隔物1242覆盖与第二栅极结构1202下方的第一隔离区213邻接的鳍片202的端部。
在形成第一栅极结构1201和第二栅极结构1202后,在一个实施例中,上述方法还可以包括如下步骤:
以第一栅极结构1201和第二栅极结构1202为掩模,刻蚀第一栅极结构1201两侧的鳍片202以形成凹陷,例如第一凹陷1101和第二凹陷1302,如图13所示。然后,在凹陷中外延生长半导体材料以形成源区和漏区,例如在第一凹陷1301和第二凹陷1302中分别外延生长半导体材料以形成源区1401和漏区1402,如图14所示。在一个实施例中,外延生长的半导体材料可以包括SiGe、SiC或Si。此外,在外延生长Si时可以原位掺杂P。
因此,本发明还提供了另一种半导体装置,如图14所示。与图11A和图11B所示装置相比,图14所示的半导体装置还包括在鳍片202上的第一栅极结构1201,以及在第一绝缘层301A上的第二栅极结构1202。此外,该半导体装置还包括在第一栅极结构1201两侧通过外延生长半导体材料形成的源区1401和漏区1402。在一个实施例中,第一栅极结构1201和第二栅极结构1202的具体结构可以是如上面所描述的结构,在此不再赘述。
本发明提供的半导体装置中,由于第一隔离区的上表面与鳍片的上表面基本齐平,并且在第一隔离区上形成了第一绝缘层,因此后续在第一绝缘层上形成的伪栅结构(对应后续的第二栅极结构)即使偏离也不会与鳍片搭成桥,减轻了伪栅结构与鳍片搭成桥造成的漏电现象,提高了器件的可靠性;此外,伪栅结构即使偏离也不会对外延生长的半导体材料的形貌造成影响,也就不会影响向沟道引入的应力,提高了器件载流子的迁移率。
本发明还提供了一种形成上述衬底结构的示例性的方法。下面结合图15A-图19B图进行详细说明。
首先,如图15A和图15B所示,提供初始衬底1501,并在初始衬底1501上形成图案化的硬掩模1502,例如氮化硅。例如,可以通过自对准双重曝光工艺(self-aligned doublepatterning,SADP)形成图案化的硬掩模1502。在一个实施例中,初始衬底1501可以包括初始半导体层1511和位于初始半导体层上的初始氧化物层1521,初始氧化物层1521可以作为硬掩模1502与初始衬底1501之间的缓冲层,从而可以降低硬掩模1502与初始衬底1501之间的应力。
然后,如图16A和图16B所示,以硬掩模1502为掩模对初始衬底1501进行刻蚀,从而形成衬底201和位于衬底201上的一个或多个鳍片202。在一个实施例中,初始衬底1501可以包括初始半导体层1511和位于初始半导体层上的初始氧化物层1521,从而刻蚀后所形成的鳍片202可以包括半导体层212和位于半导体层212上的氧化物层222。
接下来,如图17A和图17B所示,沉积隔离材料1701以填充鳍片202周围的空间,隔离材料1701的上表面与硬掩模1302的上表面基本齐平。例如,可以通过诸如流式化学气相沉积(FCVD)的方式沉积隔离材料1701(例如氧化硅),然后对隔离材料1701进行平坦化,例如化学机械抛光(CMP),从而使得隔离材料1701的上表面与硬掩模1502的上表面基本齐平。另外,在一个实施例中,在沉积隔离材料1701之前还可以在图16A所示的结构的表面形成衬垫层(liner),例如可以通过现场水汽生成(ISSG)的方式形成氧化硅作为衬底层。衬垫层可以修复刻蚀工艺对鳍片表面造成的损伤。
之后,如图18A和图18B所示,对隔离材料1701进行回刻,以露出硬掩模1502。
之后,如图19A和图19B所示,去除硬掩模1502,从而形成衬底结构。
应理解,图19A和图19B示出的衬底结构与图2A和图2B相比还额外地包括了氧化物层222,然而,该氧化物层222并非是必须的。
按照图15A-图19B的步骤形成衬底结构后,可以按照图1所示步骤以及上文的描述执行后续步骤104-步骤118。
至此,已经详细描述了根据本发明实施例的半导体装置及其制造方法。为了避免遮蔽本发明的构思,没有描述本领域所公知的一些细节,本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。另外,本说明书公开所教导的各实施例可以自由组合。本领域的技术人员应该理解,可以对上面说明的实施例进行多种修改而不脱离如所附权利要求限定的本发明的精神和范围。

Claims (19)

1.一种半导体装置的制造方法,其特征在于,包括:
提供衬底结构,所述衬底结构包括:
衬底;
位于衬底上沿着第一方向延伸的一个或多个鳍片;和
位于所述鳍片周围的隔离区;
其中,所述隔离区的上表面与所述鳍片的上表面基本齐平,所述隔离区包括第一隔离区和第二隔离区,所述第一隔离区位于所述鳍片在所述第一方向上的侧面,所述第二隔离区位于所述鳍片在不同于所述第一方向的第二方向上的侧面;
在所述衬底结构上依次形成第一绝缘层和第二绝缘层;
形成覆盖所述第一隔离区上方的第二绝缘层的阻挡层;
以所述阻挡层为掩模执行第一刻蚀工艺,以去除暴露的第二绝缘层;
去除所述阻挡层;
以剩余的第二绝缘层为掩模执行第二刻蚀工艺,以去除暴露的第一绝缘层;
在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成第三绝缘层;
以剩余的第二绝缘层和所述第三绝缘层为掩模执行第三刻蚀工艺,以去除所述第二隔离区的一部分;
去除剩余的第二绝缘层和所述第三绝缘层。
2.根据权利要求1所述的方法,其特征在于,所述阻挡层还覆盖与所述第一隔离区邻接的鳍片的端部的上方的第二绝缘层。
3.根据权利要求1所述的方法,其特征在于,所述在剩余的第一绝缘层和剩余的第二绝缘层的侧壁上形成第三绝缘层包括:
沉积绝缘材料层,以覆盖未被剩余的第一绝缘层和剩余的第二绝缘层覆盖的区域、剩余的第一绝缘层和剩余的第二绝缘层的侧壁、以及剩余的第二绝缘层的表面;
通过各向异性刻蚀工艺去除未被剩余的第一绝缘层和剩余的第二绝缘层覆盖的区域以及剩余的第二绝缘层的表面上的绝缘材料层,剩余的绝缘材料层作为所述第三绝缘层。
4.根据权利要求1所述的方法,其特征在于,
所述第一绝缘层和所述第二绝缘层具有不同的蚀刻选择比。
5.根据权利要求1所述的方法,其特征在于,
所述第一绝缘层是氧化物层;
所述第二绝缘层是氮化物层;
所述第三绝缘层是氮化物层。
6.根据权利要求1所述的方法,其特征在于,所述提供衬底结构的步骤包括:
提供初始衬底;
在所述初始衬底上形成图案化的硬掩模;
以所述硬掩模为掩模对所述初始衬底进行刻蚀,从而形成衬底和位于衬底上的一个或多个鳍片;
沉积隔离材料以填充鳍片周围的空间,所述隔离材料的上表面与所述硬掩模的上表面基本齐平;
对所述隔离材料进行回刻,以露出所述硬掩模;
去除所述硬掩模,从而形成所述衬底结构。
7.根据权利要求6所述的方法,其特征在于,
所述初始衬底包括初始半导体层和位于所述初始半导体层上的初始氧化物层;
所述鳍片包括半导体层和位于所述半导体层上的氧化物层。
8.根据权利要求1所述的方法,其特征在于,还包括:
在所述鳍片上形成第一栅极结构,并且在剩余的第一绝缘层上形成第二栅极结构。
9.根据权利要求8所述的方法,其特征在于,还包括:
以所述第一栅极结构和所述第二栅极结构为掩模,刻蚀所述第一栅极结构两侧的鳍片以形成凹陷;
在所述凹陷中外延生长半导体材料以形成源区和漏区。
10.根据权利要求9所述的方法,其特征在于,所述半导体材料包括SiGe或SiC。
11.根据权利要求8所述的方法,其特征在于,
所述第一栅极结构包括在所述鳍片的表面上的第一栅极电介质层、在所述第一栅极电介质层上的第一栅极、在所述第一栅极上的第一硬掩模层、以及在所述第一栅极电介质层、所述第一栅极和所述第一硬掩模层的侧壁上的第一间隔物;
所述第二栅极结构包括在所述剩余的第一绝缘层上的第二栅极电介质层、在所述第二栅极电介质层上的第二栅极、在所述第二栅极上的第二硬掩模层、以及在所述第二栅极电介质层、所述第二栅极和所述第二硬掩模层的侧壁上的第二间隔物,所述第二间隔物覆盖与所述第二栅极结构下方的第一隔离区邻接的鳍片的端部。
12.根据权利要求11所述的方法,其特征在于,
所述第一栅极电介质层和所述第二栅极电介质层的材料包括氧化硅;
所述第一栅极和所述第二栅极的材料包括多晶硅;
所述第一硬掩模层和所述第二硬掩模层的材料包括氮化硅。
13.一种半导体装置,其特征在于,包括:
衬底;
位于衬底上沿着第一方向延伸的一个或多个鳍片;
位于所述鳍片周围的隔离区,所述隔离区包括第一隔离区和第二隔离区,所述第一隔离区位于所述鳍片在所述第一方向上的侧面,所述第二隔离区位于所述鳍片在不同于所述第一方向的第二方向上的侧面,所述第一隔离区的上表面与所述鳍片的上表面基本齐平,所述第二隔离区的上表面低于所述鳍片的上表面;和
第一绝缘层,位于所述第一隔离区上。
14.根据权利要求13所述的装置,其特征在于,
所述第一绝缘层还覆盖与所述第一隔离区邻接的鳍片的端部。
15.根据权利要求13所述的装置,其特征在于,还包括:
在所述鳍片上的第一栅极结构,以及在所述第一绝缘层上的第二栅极结构。
16.根据权利要求13所述的装置,其特征在于,还包括:
在所述第一栅极结构两侧通过外延生长半导体材料形成的源区和漏区。
17.根据权利要求14所述的装置,其特征在于,所述半导体材料包括SiGe或SiC。
18.根据权利要求13所述的装置,其特征在于,
所述第一栅极结构包括在所述鳍片的表面上的第一栅极电介质层、在所述第一栅极电介质层上的第一栅极、在所述第一栅极上的第一硬掩模层、以及在所述第一栅极电介质层、所述第一栅极和所述第一硬掩模层的侧壁上的第一间隔物;
所述第二栅极结构包括在所述剩余的第一绝缘层上的第二栅极电介质层、在所述第二栅极电介质层上的第二栅极、在所述第二栅极上的第二硬掩模层、以及在所述第二栅极电介质层、所述第二栅极和所述第二硬掩模层的侧壁上的第二间隔物,所述第二间隔物覆盖与所述第二栅极结构下方的第一隔离区邻接的鳍片的端部。
19.根据权利要求16所述的装置,其特征在于,
所述第一栅极电介质层和所述第二栅极电介质层的材料包括氧化硅;
所述第一栅极和所述第二栅极的材料包括多晶硅;
所述第一硬掩模层和所述第二硬掩模层的材料包括氮化硅。
CN201610900638.XA 2016-10-17 2016-10-17 半导体装置及其制造方法 Active CN107958933B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201610900638.XA CN107958933B (zh) 2016-10-17 2016-10-17 半导体装置及其制造方法
EP17196526.2A EP3309842A1 (en) 2016-10-17 2017-10-16 A semiconductor apparatus and manufacturing method
US15/786,250 US10256153B2 (en) 2016-10-17 2017-10-17 Semiconductor apparatus and manufacturing method
US16/291,846 US10804157B2 (en) 2016-10-17 2019-03-04 Semiconductor apparatus and manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610900638.XA CN107958933B (zh) 2016-10-17 2016-10-17 半导体装置及其制造方法

Publications (2)

Publication Number Publication Date
CN107958933A true CN107958933A (zh) 2018-04-24
CN107958933B CN107958933B (zh) 2020-05-26

Family

ID=60119883

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610900638.XA Active CN107958933B (zh) 2016-10-17 2016-10-17 半导体装置及其制造方法

Country Status (3)

Country Link
US (2) US10256153B2 (zh)
EP (1) EP3309842A1 (zh)
CN (1) CN107958933B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770589B2 (en) * 2018-08-21 2020-09-08 International Business Machines Corporation Fin field effect transistor including a single diffusion break with a multi-layer dummy gate
US11127834B2 (en) * 2019-10-11 2021-09-21 Globalfoundries U.S. Inc Gate structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150147860A1 (en) * 2013-11-27 2015-05-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US9368496B1 (en) * 2015-01-30 2016-06-14 Globalfoundries Inc. Method for uniform recess depth and fill in single diffusion break for fin-type process and resulting devices

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337318B2 (en) * 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9330959B2 (en) * 2014-04-13 2016-05-03 Texas Instruments Incorporated Isolated semiconductor layer in bulk wafer by localized silicon epitaxial seed formation
KR20160030794A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 반도체 소자의 제조 방법
CN106687507A (zh) * 2014-09-12 2017-05-17 住友电木株式会社 木质素衍生物、木质素树脂组合物、橡胶组合物以及成型材料
US9425252B1 (en) * 2015-01-30 2016-08-23 Globalfoundries Inc. Process for single diffusion break with simplified process
CN106340455B (zh) * 2015-07-06 2021-08-03 联华电子股份有限公司 半导体元件及其制作方法
CN106653841A (zh) * 2015-10-28 2017-05-10 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US9716042B1 (en) * 2015-12-30 2017-07-25 International Business Machines Corporation Fin field-effect transistor (FinFET) with reduced parasitic capacitance
US9941386B2 (en) * 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US20170373144A1 (en) * 2016-06-28 2017-12-28 GlobalFoundries, Inc. Novel sti process for sdb devices
CN107958871B (zh) * 2016-10-17 2020-10-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150147860A1 (en) * 2013-11-27 2015-05-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US9368496B1 (en) * 2015-01-30 2016-06-14 Globalfoundries Inc. Method for uniform recess depth and fill in single diffusion break for fin-type process and resulting devices

Also Published As

Publication number Publication date
EP3309842A1 (en) 2018-04-18
US10804157B2 (en) 2020-10-13
US20190206737A1 (en) 2019-07-04
US10256153B2 (en) 2019-04-09
CN107958933B (zh) 2020-05-26
US20180108569A1 (en) 2018-04-19

Similar Documents

Publication Publication Date Title
US10840143B2 (en) Methods for forming a semiconductor arrangement of fins having multiple heights and an alignment mark
CN107958871A (zh) 半导体装置及其制造方法
US9385193B2 (en) FINFET transistor structure and method for making the same
CN103177950B (zh) 制造鳍器件的结构和方法
US7413943B2 (en) Method of fabricating gate of fin type transistor
CN100505183C (zh) 具有减薄体的窄体金属镶嵌三栅极鳍状场效应晶体管
CN109427666A (zh) 半导体装置及其制造方法
US9105746B2 (en) Method for manufacturing a field effect transistor of a non-planar type
CN101211912B (zh) 具有凹陷沟道结构的半导体器件及其制造方法
CN108010880A (zh) 半导体装置及其制造方法
CN107564859A (zh) 半导体装置及其制造方法
CN107958933A (zh) 半导体装置及其制造方法
US10043675B2 (en) Semiconductor device and method for fabricating the same
KR20150044645A (ko) 다원화된 측벽 산화막 구조를 갖는 반도체 장치 및 그 제조 방법
CN108091611A (zh) 半导体装置及其制造方法
CN104064469A (zh) 半导体器件制造方法
CN108630544B (zh) 半导体元件及其制作方法
US7923333B2 (en) Semiconductor device and method for fabricating the same
TWI721056B (zh) 半導體元件
CN109300972A (zh) Finfet器件及其形成方法
KR100724631B1 (ko) 반도체 소자의 리세스 게이트 제조방법
KR20060113265A (ko) 리세스게이트공정을 이용한 반도체장치의 제조 방법
KR20070020644A (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant