CN107799411B - 监测和控制晶片衬底形变的方法和系统 - Google Patents

监测和控制晶片衬底形变的方法和系统 Download PDF

Info

Publication number
CN107799411B
CN107799411B CN201710798257.XA CN201710798257A CN107799411B CN 107799411 B CN107799411 B CN 107799411B CN 201710798257 A CN201710798257 A CN 201710798257A CN 107799411 B CN107799411 B CN 107799411B
Authority
CN
China
Prior art keywords
plasma
platen assembly
process chamber
wafer substrate
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710798257.XA
Other languages
English (en)
Other versions
CN107799411A (zh
Inventor
海尤玛·阿什拉夫
凯文·里德尔
罗兰·芒福德
格兰特·鲍德温
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPTS Technologies Ltd
Original Assignee
SPTS Technologies Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SPTS Technologies Ltd filed Critical SPTS Technologies Ltd
Publication of CN107799411A publication Critical patent/CN107799411A/zh
Application granted granted Critical
Publication of CN107799411B publication Critical patent/CN107799411B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明公开了在等离子蚀刻晶片衬底的过程中监测和控制所述晶片衬底的形变的方法和系统。所述方法包括以下步骤:将晶片衬底布置在工艺腔室内的台板组件上,以使晶片的整个上表面暴露;使工艺气体通入所述工艺腔室中;向所述台板组件施加射频偏置电压;在所述工艺腔室内生成等离子体;在蚀刻工艺期间,监测所述台板组件和所述工艺腔室之间的电压差;一旦达到阈值监测电压,就减少或消除所述等离子体以阻止进一步蚀刻。

Description

监测和控制晶片衬底形变的方法和系统
技术领域
本发明涉及在等离子蚀刻工艺期间,监测和控制晶片的挠曲的方法和系统。
背景技术
当处理用于电子应用和光电子应用的晶片衬底时,需要确保晶片表面非常平滑和洁净。一旦制备好了主体晶片(bulk wafer),就需要进行研磨、抛光和蚀刻步骤来产生平滑均匀的表面。但是,发现在晶片表面附近可能积累应力,这主要是由于机械摩擦步骤导致的。晶片的前面和后面之间的应力失衡会导致晶片弯曲,这反过来会对以后的工艺步骤产生不良结果。为了使应力失衡最小,可利用多种方法,例如湿法化学蚀刻、化学机械抛光或等离子蚀刻,或这些工艺的组合。
当使用等离子蚀刻来解除应力或在晶片衬底上产生表面纹理时,关键需要以尽可能高的速率从表面均匀去除材料,同时不损坏晶片。该等离子蚀刻工艺所固有的是晶片中发生可导致晶片形变的应力变化。过度形变会导致晶片破裂,这是非常不希望发生的,因为之后通常必须将蚀刻腔室排空以去除碎片。这将产生等离子蚀刻工具代价高昂的停机时间。
在常规等离子蚀刻中,使用机械夹或静电夹将衬底保留在原位,并且使用冷却剂,例如氦气,来冷却衬底。但是,当需要蚀刻晶片的整个表面时,不能使用机械夹持,因为晶片上的与夹具接触并且被覆盖的区域将受到与晶片上暴露或未被覆盖的区域不同的蚀刻速率。此外,当衬底是绝缘体,例如蓝宝石或玻璃时,不能使用静电式的夹具或夹头。
发明内容
我们现在设计了在等离子蚀刻工艺期间监测晶片衬底的形变的方法和系统。
根据本发明的第一方面,提供了一种在等离子蚀刻晶片衬底的过程中监测和控制所述晶片衬底的形变的方法,所述方法包括:
将晶片衬底布置在工艺腔室内的台板组件(platen assembly)上,以使晶片的整个上表面暴露;
使工艺气体通入所述工艺腔室中;
向所述台板组件施加射频偏置电压;
在所述工艺腔室内生成等离子体;
在等离子蚀刻工艺期间,监测所述台板组件和所述工艺腔室之间的电压差;
一旦达到监测的阈值电压,就减少或消除所述等离子体以阻止进一步蚀刻。
在实施方式中,监测晶片衬底相对于所述台板组件的形变,例如翘曲和弯曲,并且在等离子蚀刻工艺期间,通过监测所述台板组件和所述腔室之间的电压差,确定相对形变的量。
在实施方式中,所述方法包括:通过将射频(RF)功率电感耦合或电容耦合至所述工艺腔室中,来生成所述等离子体。
在实施方式中,所述方法包括:通过向布置在所述腔室周围的一个或多个天线或线圈施加RF电势,将RF功率电感耦合至所述工艺腔室中。或者,或除此之外,所述方法包括:将RF电势电容耦合至所述台板组件,以在所述腔室中产生等离子体。
所述方法包括:监测所述台板组件和所述腔室之间的峰间电压差(peak-to-peakvoltage difference,Vpp)。在又一实施方式中,所述方法包括或进一步包括:监测所述台板组件和所述腔室之间的直流电压差(Vdc)。
在其中晶片包括初始平面构型的实施方式中,所述方法包括:一旦所述台板组件和所述腔室之间的峰间电压差超过蚀刻工艺开始时的峰间电压差的10%,优选20%,就减少或消除所述等离子体。或者,或除此之外,所述方法可包括:一旦所述台板组件和所述工艺腔室之间的直流电压差超过蚀刻工艺开始时的直流电压差的50%,更优选100%,就减少或消除所述等离子体。在任一情况中,所述方法均被设置为阻止进一步蚀刻,以在蚀刻工艺期间阻止可导致晶片衬底发生破裂或断裂的晶片的过度翘曲和弯曲。
在其中晶片包括初始变形或翘曲构型的实施方式中,所述方法包括:一旦所监测的峰间电压差或直流电压差降低至在所述台板组件上以基本平面取向延伸的晶片的峰间电压差或直流电压差所分别特有的值,就减少或消除所述等离子体。该方法的步骤被设置为阻止进一步蚀刻初始翘曲的晶片,其中初始翘曲主要是由晶片上存在不期望的层导致的。因为在蚀刻工艺期间去除该层,所以晶片将在所述台板组件上松弛至优选的平整状态。因此,所述方法提供了对所述晶片的构型的指示,以便可以在适当时间终止蚀刻工艺。
在实施方式中,所述方法进一步包括:控制所述台板组件的温度,以帮助调节所述晶片衬底的温度。
在实施方式中,所述方法进一步包括:向所述台板组件施加射频(RF)电势,以在所述台板组件上提供偏压。
根据本发明的第二方面,提供了一种在等离子蚀刻晶片衬底的整个上表面的过程中监测所述晶片衬底的形变的系统,所述系统包括:
工艺腔室;
布置在所述工艺腔室中配置用于接收晶片衬底的台板组件;
用于将工艺气体接收至所述腔室中的入口;
用于生成等离子体的设备;
配置用于向所述台板组件施加射频电压以使所述台板组件的电压产生偏置的电压发生器;
配置用于在等离子蚀刻工艺期间,监测所述台板组件和所述工艺腔室之间的电压差的监测部件;和
与所述监测部件和所述用于生成等离子体的设备通信耦合的处理器,所述处理器用于一旦达到监测的阈值电压,就减少或消除所述等离子体以阻止进一步蚀刻。
在实施方式中,所述监测部件配置用于向处理器输出代表所述台板组件和所述腔室之间的电压差的信号。所述处理器用于依赖于从所述监测部件输出的信号,来确定相对于所述台板组件的形变的量,并且依赖于从所述监测部件输出的信号,向所述用于生成等离子体的设备输出信号。
在实施方式中,所述用于生成等离子体的设备包括RF发生器,所述RF发生器被设置成将RF功率电感耦合或电容耦合至所述腔室中。所述处理器与RF发生器通信耦合,用于控制RF功率至所述腔室中的耦合。
所述用于生成等离子体的设备进一步包括布置在所述腔室周围的一个或多个天线或线圈,所述腔室与在腔室中生成等离子体的RF发生器电耦合。或者,或除此之外,所述RF发生器可电耦合至所述台板组件,用于在所述腔室中生成等离子体。
所述监测部件优选配置用于监测所述台板组件和所述腔室之间的峰间电压差。在又一实施方式中,所述监测部件配置用于监测或进一步监测所述台板组件和所述工艺腔室之间的直流(dc)电压差。
所述系统进一步包括用于控制所述台板组件的温度的设备。
根据本发明的第三方面,提供了一种用于在等离子蚀刻晶片衬底的整个上表面的过程中监测所述晶片衬底的形变的系统,所述系统配置用于实施根据第一方面所述的方法。
虽然上面已经对本发明进行了描述,但本发明还延伸至上文或下文中所描述的特征的任意创造性组合。尽管参见附图在本文中详细描述了本发明的示例性实施方式,但应理解本发明不限于这些具体的实施方式。
此外,即使其他特征和实施方式没有提到特定特征,但还可预期单独描述或作为实施例的一部分描述的特定特征可以与其他单独描述或作为其他实施例的部分描述的特征组合。因此,本发明延伸至尚未描述的这些特定组合。
附图说明
本发明可以多种方式进行,现在将参见附图描述本发明的仅作为例子的实施方式。在附图中:
图1是根据本发明实施方式的,用于在等离子蚀刻晶片衬底的过程中监测晶片衬底形变的系统的示意图;
图2是与根据本发明第一实施方式的用于在等离子蚀刻晶片衬底的过程中,监测晶片衬底形变的方法相关的步骤的示意图;
图3是在等离子蚀刻经历(a)最小形变和(b)显著形变的晶片衬底的过程中,台板组件中的感应ac和dc电压分量的大小变化的示图;
图4a~4g是在等离子蚀刻7个晶片衬底的过程中,台板组件中的感应ac电压分量的大小变化的示图;和
图5是与根据本发明的第二实施方式的用于在等离子蚀刻晶片衬底的过程中,监测晶片衬底形变的方法相关的步骤的示意图。
具体实施方式
参见附图的图1,示出了根据本发明的实施方式的系统10,该系统10用于在等离子蚀刻晶片衬底11(下文称为“晶片”)的过程中,监测晶片11,主要是电绝缘性晶片11,例如蓝宝石或玻璃晶片的形变。系统10被设置以在蚀刻工艺期间监测晶片11的任何翘曲和弯曲,努力预先阻止晶片11出现任何断裂或裂缝,或者一旦实现了期望的晶片构型就终止蚀刻工艺。
系统10包括工艺腔室12,在工艺腔室12内,进行晶片11的等离子蚀刻。工艺腔室12包括腔室壁12a,腔室壁12a可由金属例如铝形成,并且通常是电接地的。系统10进一步包括台板组件13,台板组件13也可由布置在腔室12内的金属例如铝形成,但通过常规设备例如陶瓷断路器14而与腔室壁12a电绝缘开。
台板组件13包括主体13a,主体13a具有接收晶片11的支撑表面13b。晶片11不被机械夹持至台板组件13,这确保了晶片11没有被夹具覆盖的区域(未示出)。反过来,这确保了晶片11的整个上表面11a是暴露的,并且经受基本类似的蚀刻速率,这有助于使晶片整个表面的应力变化降至最小。因此,在开始蚀刻工艺之前,将晶片11简单地放置在支撑表面13b上。
系统10,尤其是腔室12,进一步包括进气口15,工艺气体源(未示出)可与进气口15流体联接用于将气体引入腔室12中,该气体例如可包括氩气、氯气或三氯化硼气体。腔室12进一步包括出口16,工艺气体和蚀刻工艺的所有副产物可经由出口16离开腔室12。
在实施方式中,通过向一个或多个天线18施加来自RF电压发生器17的射频(RF)电压来生成等离子体,该一个或多个天线18被布置在腔室12的周围,并且位于腔室壁12a中形成的各电介质窗口区段12b的附近。一个或多个天线18可例如包括基本平面的盘旋形构型、螺旋形线圈构型或环形构型,并且与标准实践一样,进行来自发生器17的RF信号与天线18的阻抗匹配,以使电功率从天线18的反射降至最低。天线18被放置在腔室12的周围,电功率通过电介质窗口区段12b电感耦合至腔室12中。
在腔室12的布置在晶片11上方的区域19中生成等离子体,以便晶片11暴露于等离子体中。经由泵例如涡轮分子泵20,从腔室12中抽出气体,其中泵可被布置在腔室12的出口16中或其下游,并且腔室12的入口15和出口16被布置在等离子体区域19的相对的两侧上,以便工艺气体按需要穿过腔室12,经过区域19和晶片11的上方,到达出口16。
在实施方式中,台板组件13可进一步包括热管理装置21,其中热管理装置21包括延伸穿过台板组件13以通过其传送流体的管道部件21a。流体(未示出)被设置成与台板组件13交换热量,并且调节台板组件13的温度,从而控制晶片11的温度。
系统10进一步包括监测部件22和处理器23,其中监测部件22被设置成监测台板组件13和腔室壁12a之间的电压差,处理器23用于控制耦合至腔室12中的RF功率。监测部件22可包括电压感测装置24例如电压计,其中电压感测装置24配置用于监测台板组件13和腔室壁12a之间的峰间电压差,还用于监测台板组件13和腔室壁12a之间的直流(dc)电压差。电压感测装置24与处理器23通信耦合,并且被设置成向处理器23输出代表峰间电压差和dc电压差的信号。
所述系统可进一步包括电压发生器25,其中电压发生器25用于向台板组件13施加射频偏置电压。向台板组件13提供负偏压例如可帮助控制晶片11的表面被带正电的离子轰击。
参见附图的图2,例示了与根据本发明第一实施方式的方法100相关的步骤,该步骤用于在等离子蚀刻晶片11的过程中监测和控制晶片衬底11,主要是电绝缘的晶片11的形变。首先在步骤101,将晶片11放置在腔室12内的台板组件13上,随后在步骤102,使工艺气体穿过腔室12之前排空腔室12。通过泵20,将工艺气体从工艺气体源(未示出)抽入腔室12中,并且通过压力控制器(未示出)将腔室12内的压力维持在约5-25mT。使气体从入口15抽入,穿过等离子体区域19和晶片11的上方,然后经由出口16离开腔室12。
一旦腔室12已经适当排空和/或经工艺气体吹扫,就在步骤103a,向天线18施加通常在2MHz和13.56MHz之间运行的RF电势,以将电功率电感耦合至低压工艺气体,从而起始生成等离子体并且开始蚀刻晶片衬底11。在步骤103b,还通过使用通常在380kHz和13.56MHz之间运行的电压发生器25向台板组件13施加偏压。
发现Vpp值和Vdc值依赖于支撑表面13b的暴露于等离子体的区域,即支撑表面13b上不与晶片11接触的区域。由蚀刻工艺导致的晶片11的任何形变或结构变形,例如晶片11的,尤其沿晶片11外周的任何翘曲、弯曲或甚至平整化,都将导致支撑表面13b上被晶片11覆盖的区域发生变化。这显示为支撑表面13b上暴露于等离子体的区域的变化,从而显示出Vpp和Vdc的变化。
在步骤104,通过监测部件22监测Vpp和Vdc。在所述方法用于阻止晶片断裂或破裂的实施方式中,在步骤105,记录代表所监测到的蚀刻工艺开始时Vpp和Vdc分量的值的信号,并将其用于预先设置Vpp和Vdc电压分量的阈值,用于触发等离子体的减少或用于使等离子体消除。Vpp分量的阈值通常被设置为比初始Vpp值高10%~20%,而Vdc分量的阈值通常可被设置为比初始Vdc分量高50%~100%。
在步骤106,在蚀刻工艺期间,代表Vpp和Vdc分量的所监测到的值的信号被周期性地传送至处理器23,并且在步骤107,在信号指示Vpp或Vdc电压分量超过各阈值的情况下,处理器23则被配置为向RF电压信号发生器17传送信号以减少或消除等离子体,从而阻止进一步蚀刻。
参见附图的图3,例示了对于两个150mm蓝宝石晶片,作为蚀刻处理时间(秒)的函数,台板组件13中的Vpp电压分量大小和Vdc电压分量大小的代表性变化。下面的表1汇总了使用SPTS技术先进的等离子体系统(APS)的等离子蚀刻模块,以>150nm/min速率蚀刻蓝宝石衬底的工艺条件。
在附图的图3a中,我们可以看到对于正常操作,作为蚀刻时间的函数的Vpp值和Vdc值。在蚀刻工艺期间,看到Vpp稳定在约1550V,且看到Vdc稳定在<10V。但是,在附图的图3b中,其中发生了不期望的晶片形变,看到Vpp增高至>1850V,且看到Vdc增高至>100V。对于Vpp和Vdc,台板组件13和腔室壁12a之间的电压差的这种增高指示晶片的形变,因为随着晶片11发生弯曲和翘曲,支撑表面13b上更多的区域暴露于等离子体。相应地,预期对晶片11的进一步蚀刻很可能会导致晶片由于过度形变而破裂。但是,因为在晶片11的研磨/抛光工艺中存在一定程度的变化,所以晶片11在破裂之前可以容忍的变形即弯曲和翘曲的量有变化。
工艺化学品 压力 RF源 RF偏压 温度
Ar/Cl<sub>2</sub>/BCl<sub>3</sub>(160sccm总流量) 5-25mT 800~1000W 300-500W 20℃
表1
参见附图的图4,例示了对于7个直径均为150mm的蓝宝石晶片,台板组件13中的Vpp大小变化的示图。使用上述系统分别蚀刻这些晶片,用于在正面(FS)蚀刻过程中去除约1~2μm,并且在背面(BS)蚀刻过程中去除约3μm。将蚀刻晶片2~7的过程中的Vpp阈值设置为1770V,这样一旦Vpp达到该阈值,就终止该蚀刻工艺。但是,没有设置晶片1的Vpp阈值,以便不管Vpp值如何,蚀刻工艺都将继续。下面的表2汇总了各晶片的蚀刻工艺的结果。
当参见附图的图4时,最初的图4a涉及晶片1,很明显Vpp从蚀刻工艺开始时的约1600V,在约870s的工艺时间时增高至约1884V。这种增高代表晶片的翘曲和弯曲,并且与晶片在蚀刻工艺期间形成凹形结构的理论一致,这样的形变使得更多的支撑表面13b暴露于等离子体中。但是,由于没有使用Vpp阈值,所以蚀刻工艺在870s时没有终止,在这种情况中,看到Vpp突然从1884V降低至蚀刻工艺开始时的Vpp值,即1600V。Vpp的这种不连续变化是晶片破裂的特征,因为随着晶片破裂,它从变形的凹形设置松弛至晶片的覆盖支撑表面13b的初始平面构型。
Figure BDA0001400508720000091
表2
参见附图中的图4c和4d以及表2,晶片3和晶片4示出了相似的预蚀刻完全和翘曲值,但是很明显晶片3和晶片4显示出作为时间函数的不同的Vpp轨迹(可能是由于晶片的正面和背面之间的不同应力分布导致在两个晶片上出现不同的形变)。由于晶片4的Vpp在1134s快速增高至1770V,所以在完成3μm背面蚀刻之前停止该工艺过程,以降低晶片破裂的可能性。分别如附图中的图4b和图4e所示,晶片2和晶片5也需要将工艺过程缩短,因为Vpp值升高超过Vpp预设阈值。但是,当参见附图的图4c、图4f和图4g时,很明显晶片3、晶片6和晶片7的Vpp值都没有超过预设阈值,因此在这些情况中,不需要终止蚀刻工艺。
参见附图的图5,例示了根据本发明的第二实施方式的方法200,方法200用于在等离子蚀刻晶片11的过程中,监测和控制晶片衬底11,主要是电绝缘性晶片11的形变。根据第二实施方式的方法200被设置成终止例如涉及初始翘曲或弯曲的晶片11的蚀刻工艺。由于存在布置在晶片上的不期望的材料的涂层或层(未示出),在晶片11的前表面和后表面之间产生应力变化,晶片11可包括翘曲或弯曲的初始构型。在这种情况中,随着蚀刻工艺的进行,该涂层或层将被去除,这导致晶片11松弛至覆盖台板组件13的支撑表面13b的平整状态。
根据第二实施方式的方法200与根据第一实施方式的方法基本相同,因此,类似步骤使用了相同的数字,但增加了100。在该实施方式中,在步骤204,通过监测部件22监测Vpp和Vdc,以便一旦晶片11获得期望的结构变形或形变(即平面构型),就可终止蚀刻工艺。
在步骤205,代表布置在支撑表面13b上的平面晶片的Vpp和Vdc分量的信号,用于预先设置Vpp和Vdc电压分量的阈值,以触发等离子体的减少或使等离子体消除。在步骤206,在蚀刻工艺期间,代表Vpp和Vdc分量的所监测到的值的信号被周期性地传送至处理器23,并且在步骤107,一旦信号指示Vpp或Vdc电压分量降低至各阈值(这代表了平整的晶片),处理器23被配置为向RF电压信号发生器17传送信号以减少或消除等离子体,从而阻止进一步蚀刻。
因此从上文看出,很明显本发明的上述方法和系统提供用于指示等离子蚀刻工艺期间,晶片的形变和结构变形。

Claims (14)

1.一种在等离子蚀刻电绝缘性晶片衬底的过程中监测和控制所述电绝缘性晶片衬底的形变的方法,所述方法包括:
将电绝缘性晶片衬底布置在工艺腔室内的台板组件上,以使所述电绝缘性晶片衬底的整个上表面暴露;
使工艺气体通入所述工艺腔室中;
向所述台板组件施加射频偏置电压;
通过在所述工艺腔室内生成等离子体来蚀刻所述电绝缘性晶片衬底的暴露的整个上表面;
通过监测所述台板组件和所述工艺腔室之间的电压差来确定蚀刻期间所述电绝缘性晶片衬底相对于所述台板组件的翘曲;
一旦达到监测的阈值电压,就减少或消除所述等离子体以阻止进一步蚀刻。
2.根据权利要求1所述的方法,其中,通过将射频RF功率电感耦合或电容耦合至所述工艺腔室中,来生成所述等离子体。
3.根据权利要求2所述的方法,所述方法进一步包括:通过向布置在所述工艺腔室周围的一个或多个天线施加RF电势,将RF功率电感耦合至所述工艺腔室中。
4.根据前述任一项权利要求所述的方法,所述方法包括:监测所述台板组件和所述工艺腔室之间的峰间电压差Vpp。
5.根据权利要求1-3中任一项所述的方法,所述方法包括:监测所述台板组件和所述工艺腔室之间的直流电压差Vdc。
6.根据权利要求4所述的方法,所述方法进一步包括:一旦所述台板组件和所述工艺腔室之间的Vpp超过所述蚀刻开始时的Vpp的10%,就减少或消除所述等离子体。
7.根据权利要求4所述的方法,所述方法进一步包括:一旦所述台板组件和所述工艺腔室之间的Vpp超过所述蚀刻开始时的Vpp的20%,就减少或消除所述等离子体。
8.根据权利要求5所述的方法,所述方法进一步包括:一旦所述台板组件和所述工艺腔室之间的Vdc超过所述蚀刻开始时的Vdc的50%,就减少或消除所述等离子体。
9.根据权利要求5所述的方法,所述方法进一步包括:一旦所述台板组件和所述工艺腔室之间的Vdc超过所述蚀刻开始时的Vdc的100%,就减少或消除所述等离子体。
10.根据权利要求4所述的方法,所述方法进一步包括:一旦Vpp降低至为所述电绝缘性晶片衬底的Vpp的特征的值,该电绝缘性晶片衬底在所述台板组件上以基本平面取向延伸,就减少或消除所述等离子体。
11.根据权利要求5所述的方法,所述方法进一步包括:一旦Vdc降低至为所述电绝缘性晶片衬底的Vdc的特征的值,该电绝缘性晶片衬底在所述台板组件上以基本平面取向延伸,就减少或消除所述等离子体。
12.根据权利要求1-3中任一项所述的方法,所述方法进一步包括:控制所述台板组件的温度,以调节所述电绝缘性晶片衬底的温度。
13.一种在等离子蚀刻电绝缘性晶片衬底的整个上表面的过程中监测所述电绝缘性晶片衬底的形变的系统,所述系统包括:
工艺腔室;
布置在所述工艺腔室中配置用于接收电绝缘性晶片衬底的台板组件;
用于将工艺气体接收至所述工艺腔室中的入口;
用于生成等离子体的设备;
配置用于向所述台板组件施加射频电压以使所述台板组件的电压产生偏置的电压发生器;
配置用于在等离子蚀刻工艺期间监测所述台板组件和所述工艺腔室之间的电压差的监测部件;和
与所述监测部件和所述用于生成等离子体的设备通信耦合的处理器,所述处理器配置用于确定蚀刻期间所述电绝缘性晶片衬底相对于所述台板组件的翘曲以及一旦达到监测的阈值电压,就减少或消除所述等离子体以阻止进一步蚀刻。
14.一种在等离子蚀刻晶片衬底的整个上表面的过程中监测所述晶片衬底的形变的系统,所述系统配置用于实施权利要求1所述的方法。
CN201710798257.XA 2016-09-06 2017-09-06 监测和控制晶片衬底形变的方法和系统 Active CN107799411B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB1615114.4 2016-09-06
GBGB1615114.4A GB201615114D0 (en) 2016-09-06 2016-09-06 A Method and system of monitoring and controlling deformation of a wafer substrate

Publications (2)

Publication Number Publication Date
CN107799411A CN107799411A (zh) 2018-03-13
CN107799411B true CN107799411B (zh) 2022-11-15

Family

ID=57140074

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710798257.XA Active CN107799411B (zh) 2016-09-06 2017-09-06 监测和控制晶片衬底形变的方法和系统

Country Status (7)

Country Link
US (1) US10431436B2 (zh)
EP (1) EP3291280B1 (zh)
JP (1) JP6850703B2 (zh)
KR (1) KR102215499B1 (zh)
CN (1) CN107799411B (zh)
GB (1) GB201615114D0 (zh)
TW (1) TWI720249B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804125B2 (en) * 2018-05-07 2020-10-13 Applied Materials, Inc. Substrate deformation detection and correction
US20210391141A1 (en) * 2018-10-30 2021-12-16 Lam Reesarch Corporation Substrate state detection for plasma processing tools

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359471A (zh) * 1998-08-17 2002-07-17 泰格尔公司 半导体晶片处理过程中消除晶片电弧的方法与装置
CN1647254A (zh) * 2002-04-09 2005-07-27 优利讯美国有限公司 用于蚀刻通孔的改进方法
CN1665955A (zh) * 2002-06-28 2005-09-07 东京电子株式会社 用于在等离子体加工系统中电弧抑制的方法和系统
CN1675738A (zh) * 2002-08-09 2005-09-28 应用材料有限公司 具双频偏压源及单频等离子体产生源的蚀刻腔室
CN1714433A (zh) * 2002-09-30 2005-12-28 兰姆研究有限公司 减小晶片弧化的方法
JP2007250755A (ja) * 2006-03-15 2007-09-27 Hitachi High-Technologies Corp プラズマ処理装置
JP2008071981A (ja) * 2006-09-15 2008-03-27 Hitachi High-Technologies Corp プラズマ処理方法および装置
WO2008043047A2 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
CN101542857A (zh) * 2006-09-20 2009-09-23 朗姆研究公司 在脉冲rf偏置处理中测量和控制晶片电势的方法和装置
CN102126701A (zh) * 2010-01-12 2011-07-20 富士胶片株式会社 在图案化晶片上的可控结合波
EP2682979A1 (en) * 2012-07-03 2014-01-08 SPTS Technologies Limited A method of etching

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06204325A (ja) * 1992-12-28 1994-07-22 Hitachi Ltd 静電吸着装置およびその吸着方法
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
JP2000124299A (ja) * 1998-10-16 2000-04-28 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
KR101526615B1 (ko) * 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
US20090056627A1 (en) * 2007-08-30 2009-03-05 Asm Japan K.K. Method and apparatus for monitoring plasma-induced damage using dc floating potential of substrate
JP5072082B2 (ja) * 2007-09-07 2012-11-14 株式会社アルバック ドライエッチング方法
US8225683B2 (en) * 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
JP5550883B2 (ja) * 2009-11-05 2014-07-16 株式会社アルバック Memsデバイスの製造方法及びmemsデバイスの製造装置
JP5486970B2 (ja) * 2010-03-17 2014-05-07 東京エレクトロン株式会社 基板脱着方法及び基板処理装置
JP5898882B2 (ja) * 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359471A (zh) * 1998-08-17 2002-07-17 泰格尔公司 半导体晶片处理过程中消除晶片电弧的方法与装置
CN1647254A (zh) * 2002-04-09 2005-07-27 优利讯美国有限公司 用于蚀刻通孔的改进方法
CN1665955A (zh) * 2002-06-28 2005-09-07 东京电子株式会社 用于在等离子体加工系统中电弧抑制的方法和系统
CN1675738A (zh) * 2002-08-09 2005-09-28 应用材料有限公司 具双频偏压源及单频等离子体产生源的蚀刻腔室
CN1714433A (zh) * 2002-09-30 2005-12-28 兰姆研究有限公司 减小晶片弧化的方法
JP2007250755A (ja) * 2006-03-15 2007-09-27 Hitachi High-Technologies Corp プラズマ処理装置
JP2008071981A (ja) * 2006-09-15 2008-03-27 Hitachi High-Technologies Corp プラズマ処理方法および装置
CN101542857A (zh) * 2006-09-20 2009-09-23 朗姆研究公司 在脉冲rf偏置处理中测量和控制晶片电势的方法和装置
WO2008043047A2 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
CN102126701A (zh) * 2010-01-12 2011-07-20 富士胶片株式会社 在图案化晶片上的可控结合波
EP2682979A1 (en) * 2012-07-03 2014-01-08 SPTS Technologies Limited A method of etching

Also Published As

Publication number Publication date
TW201820381A (zh) 2018-06-01
US20180144911A1 (en) 2018-05-24
EP3291280A1 (en) 2018-03-07
CN107799411A (zh) 2018-03-13
GB201615114D0 (en) 2016-10-19
KR20180027386A (ko) 2018-03-14
TWI720249B (zh) 2021-03-01
JP2018085498A (ja) 2018-05-31
US10431436B2 (en) 2019-10-01
EP3291280B1 (en) 2020-04-29
JP6850703B2 (ja) 2021-03-31
KR102215499B1 (ko) 2021-02-10

Similar Documents

Publication Publication Date Title
KR101744625B1 (ko) 에칭 방법
KR100794692B1 (ko) 플라즈마처리방법 및 플라즈마처리장치
EP1042783B1 (en) Focus rings
JP5264231B2 (ja) プラズマ処理装置
US8987140B2 (en) Methods for etching through-silicon vias with tunable profile angles
US20020052114A1 (en) Enhanced resist strip in a dielectric etcher using downstream plasma
JP2006523382A (ja) 処理中の基板裏面堆積を減らす方法および装置。
TWI633599B (zh) Etching method and etching device
CN107799411B (zh) 监测和控制晶片衬底形变的方法和系统
US20120108072A1 (en) Showerhead configurations for plasma reactors
KR20190055261A (ko) 감소된 종횡비 의존성으로 선택적으로 에칭하는 방법
JP2019012732A (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2007115839A (ja) 半導体装置の製造方法及びプラズマ処理装置
EP3285284B1 (en) Method for plasma etching a workpiece
TW201608634A (zh) 抑制刻蝕過程中孔底部出現缺口的方法、孔的形成方法
JP5893260B2 (ja) プラズマ処理装置および処理方法
JP3362093B2 (ja) エッチングダメージの除去方法
CN114078675A (zh) 用于蚀刻的设备及方法
TWI431681B (zh) 洗淨方法及真空處理裝置
JP3172340B2 (ja) プラズマ処理装置
KR20050001831A (ko) 플라즈마 처리 장치
JPH1145877A (ja) プラズマ処理方法及び装置
TWI414016B (zh) 進行電漿蝕刻製程的裝置
KR100511918B1 (ko) 웨이퍼 엣지 처리장치
KR100620178B1 (ko) 플라즈마 식각장치의 식각 부산물 제거방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant