CN107534039A - 用于单片三维(3‑d)集成电路(ic)的功率输送网络(pdn)设计 - Google Patents

用于单片三维(3‑d)集成电路(ic)的功率输送网络(pdn)设计 Download PDF

Info

Publication number
CN107534039A
CN107534039A CN201680022063.4A CN201680022063A CN107534039A CN 107534039 A CN107534039 A CN 107534039A CN 201680022063 A CN201680022063 A CN 201680022063A CN 107534039 A CN107534039 A CN 107534039A
Authority
CN
China
Prior art keywords
nude film
pdn
power
face
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680022063.4A
Other languages
English (en)
Other versions
CN107534039B (zh
Inventor
李圣奎
卡姆比兹·萨玛迪
杜杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN107534039A publication Critical patent/CN107534039A/zh
Application granted granted Critical
Publication of CN107534039B publication Critical patent/CN107534039B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73151Location prior to the connecting process on different surfaces
    • H01L2224/73153Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

系统和方法涉及用于单片三维集成电路3D‑IC的功率输送网络PDN。单片3D‑IC(400)包含与电源/接地凸块(402)相邻且接触的第一裸片(404)。第二裸片(406)堆叠于所述第一裸片(404)上,所述第二裸片通过所述第一裸片与所述电源/接地凸块分隔开。一或多个旁路电源/接地通孔(432)和一或多个单片层间通孔MIV(430)被配置成将功率从所述电源/接地凸块(402)输送到所述第二裸片(406)。

Description

用于单片三维(3-D)集成电路(IC)的功率输送网络(PDN)设计
技术领域
所公开的方面涉及用于单片三维集成电路(3D-IC)的功率输送网络(PDN)。更确切地说,示范性方面涉及利用单片3D-IC中的旁路电源/接地(P/G)通孔阵列和P/G单片层间通孔(MIV)阵列来支持垂直功率输送。
背景技术
三维集成电路(3D-IC)已出现作为有前景的对延伸由摩尔定律预测的2D缩放轨迹的解决方案。目前,将硅通孔(TSV)用于形成3D-IC以允许垂直堆叠单独制造的多个裸片。然而,基于TSV的3D-IC的质量很大程度上取决于TSV和寄生元件的尺寸,并且限于具有相对少量的全局互连的存储器搭载逻辑或大型逻辑搭载逻辑设计。
基于TSV的3D-IC的新兴替代物是单片3D-IC(也称为“M3D”)。单片3D-IC技术涉及装置的两个或更多个层的顺序制造,而不是使用微凸块来接合两个先前制造的裸片。
单片3D-IC使为数量级的集成密度高于基于(TSV)的3D-IC技术的集成密度。这是由于事实上单片3D-IC利用极小的单片层间通孔(MIV)。总的来说,MIV提供比TSV更佳的电特性(即,更少寄生效应、电耦合等),并且还由于其尺寸小而能够实现更高的集成密度。
然而,当提及功率输送时单片3D-IC面临挑战。单片3D-IC中的装置的层的填充集成给功率输送网络(PDN)的集成留下的空间极小。因此,需要对单片3D-IC中的PDN的集成有效的解决方案。
发明内容
示范性方面包含用于与单片三维集成电路(3D-IC)相关的功率输送的系统和方法。单片3D-IC包含直接耦合到电源/接地凸块的第一裸片。第二裸片堆叠于所述第一裸片上,所述第二裸片通过所述第一裸片与电源/接地凸块分隔开。一或多个旁路电源/接地通孔和一或多个单片层间通孔(MIV)被配置成将功率从电源/接地凸块输送到第二裸片。
举例来说,一示范性方面涉及一种用于在单片三维集成电路(3D-IC)中输送功率的设备,包括:与电源/接地凸块相邻且接触的第一裸片。第二裸片堆叠于第一裸片上,第二裸片通过第一裸片与电源/接地凸块分隔开,并且一或多个旁路电源/接地通孔被配置成将功率从电源/接地凸块输送到第二裸片。
另一示范性方面涉及一种用于在单片三维集成电路(3D-IC)中输送功率的方法,所述方法包括:形成与第一裸片相邻且接触的电源/接地凸块;在第一裸片上堆叠第二裸片,第二裸片通过第一裸片与电源接地凸块分隔开;以及使用一或多个旁路电源/接地通孔将功率从电源/接地凸块输送到第二裸片。
又一示范性方面涉及一种系统,包括:单片三维集成电路(3D-IC);用于输送与单片3D-IC的第一裸片接触且与其相邻的功率的装置;堆叠于第一裸片上的单片3D-IC的第二裸片,所述第二裸片通过第一裸片与所述用于输送功率的装置分隔开;以及用于为裸片设旁路以将功率从所述用于输送功率的装置输送到第二裸片的装置。
附图说明
呈现附图以协助描述本文中所描述的技术,且提供所述附图仅用于说明实施方案而非用于限制所述实施方案。
图1是根据一或多个方面的用于单片3D-IC 100的功率输送网络(PDN)设计的横截面视图,其中电源/接地(P/G)垂直单片层间通孔(MIV)被限制于单片3D-IC 100的边缘。
图2是根据一或多个方面的用于单片3D-IC 200的PDN设计的横截面视图,其中P/G垂直MIV散布遍及3D-IC 200,包含中间以及边缘。
图3是根据一或多个方面的用于单片3D-IC 300的PDN设计的横截面视图,其中3D-IC 300集成于第一裸片和第二裸片的面对背配置中,并且第二裸片不包含旁路P/G MIV。
图4是根据一或多个方面的用于单片3D-IC的PDN设计的横截面视图,其中3D-IC400集成于第一裸片和第二裸片的面对背配置中,并且第二裸片包含旁路P/G MIV。
图5是根据一或多个方面的用于单片3D-IC的PDN设计的横截面视图,其中3D-IC500集成于第一裸片和第二裸片的面对面配置中,并且第二裸片不包含旁路P/G MIV。
图6是根据一或多个方面的用于单片3D-IC的PDN设计的横截面视图,其中3D-IC600集成于第一裸片和第二裸片的面对面配置中,并且第二裸片包含旁路P/G MIV。
图7是说明根据本文中所描述的示范性方面的制造用于单片3D-IC的PDN的方法的流程图。
图8是展示示范性无线通信系统的框图,其中可以采用根据本文中所描述的示范性方面的单片3D-IC。
具体实施方式
在针对本发明的特定方面的以下描述和相关图式中公开本发明的方面。可在不脱离本发明的范围的情况下设计替代方面。另外,将不会详细描述或将省略本发明的众所周知的元件以免混淆本发明的相关细节。
词语“示范性”在本文中用于意指“充当实例、例子或说明”。本文中描述为“示范性”的任何方面未必理解为比其它方面优选或有利。同样,术语“本发明的方面”并不要求本发明的所有方面都包含所论述的特征、优点或操作模式。
本文中所使用的术语仅出于描述特定方面的目的,且并不意图限制本发明的方面。如本文中所使用,单数形式“一(a/an)”和“所述”意图还包含复数形式,除非上下文另外清楚地指示。将进一步理解,术语“包括(comprises/comprising)”和/或“包含(includes/including)”当在本文中使用时指定所陈述的特征、整数、步骤、操作、元件和/或组件的存在,但并不排除一或多个其它特征、整数、步骤、操作、元件、组件和/或其群组的存在或添加。
另外,根据将由(例如)计算装置的元件执行的动作序列来描述许多方面。将认识到,本文中所描述的各种动作可由特定电路(例如,专用集成电路(ASIC))、由正由一或多个处理器执行的程序指令或由所述两者的组合来执行。另外,可认为本文中所描述的这些动作序列完全体现于任何形式的计算机可读存储媒体内,所述计算机可读存储媒体中存储有一组对应的计算机指令,所述计算机指令在被执行时将导致相关联的处理器执行本文中所描述的功能性。因此,本发明的各种方面可以数个不同形式来体现,已预期所有形式属于所主张的标的物的范围内。另外,对于本文中所描述的方面中的每一个,任何此类方面的对应形式可在本文中描述为(例如)“被配置成”执行所描述动作的“逻辑”。
一般来说,本公开的示范性方面是针对用于单片3D-IC的高效低成本的功率输送网络(PDN)设计。本文中所论述的示范性单片3D-IC包含集成或制造两个或更多个堆叠的裸片。在不损失一般性的情况下,所述裸片中的至少一个被称作第一裸片或顶部裸片,并且另一裸片被称作第二裸片或底部裸片,应记住,类似“顶部”和“底部”的术语仅仅用以传达所述裸片关于彼此的相对定向,而并不暗示绝对方向。举例来说,如本文中所论述,第一裸片或顶部裸片与用于输送功率的装置相邻且与其接触,所述装置例如用于电源/接地连接的焊料凸块或电源/接地凸块。另一方面,堆叠在第一/顶部裸片上的第二裸片或底部裸片并不与所述电源/接地凸块相邻,而是通过第一/顶部裸片与所述电源/接地凸块分隔开。
可以监视单片3D-IC中的PDN以测量实际电压电平。如果理想电压电平是1.0V,那么由于PDN中的电源线长,PDN可能具有电压降和信号损耗。举例来说,如果在第一/顶部裸片中PDN处的电压电平为1.0V,那么在第二/底部裸片中所述电压电平可能仅为(例如)0.98V或0.95V。与理想电压电平的此偏差可能会导致延迟电晶体的劣化和电路噪声容限的减少。
在示范性方面中,为了对抗与第二裸片中理想电压电平的偏差,引入用于为裸片设旁路的装置,例如旁路电源/接地(P/G)通孔。由于第一裸片与电源/接地凸块相邻和接触,因此第一裸片直接由电源/接地凸块供电。然而,第二裸片并不与电源/接地凸块接触,而是通过至少第一裸片与电源/接地凸块分隔开。由于第二裸片通过至少第一裸片与电源/接地凸块分隔开,因此将旁路电源/接地(P/G)通孔添加到单片3D-IC中的PDN,从而使得将功率从电源/接地凸块穿过旁路P/G通孔输送到第二裸片。在一些实例中,旁路电源/接地通孔包含形成于第一裸片中的至少一第一旁路电源/接地通孔和形成于第二裸片中的至少一第二电源/接地通孔。此外,在一些实例中,互连所述第一和第二电源/接地通孔可以通过若干装置(例如一或多个铜垫片、一或多个垂直单片层间通孔(MIV)等)来实现。功率并不经旁路电源/接地通孔供应到第一裸片,而是经第一和第二旁路电源/接地通孔(例如,其可以通过垂直MIV耦合或互连)输送到第二裸片。以此方式增加旁路P/G通孔可以减少第二裸片处的电压降。
图1是根据本文中所描述的一或多个方面的用于单片3D-IC 100的PDN设计的横截面视图。所说明的单片3D-IC 100包含直接耦合到第一裸片104或与所述第一裸片接触的若干电源/接地凸块102。第一裸片104堆叠于第二裸片106上。出于说明的目的,假定标称电压电平是1.0V。由于PDN中的长电源线,PDN可能具有电压降和信号损耗。具体地说,第一裸片104可能未遭受与第二裸片106中的电压降一样大的电压降,这是因为第一裸片104更靠近电源/接地凸块。另一方面,如果理想电压是1.0V,那么(例如)由于由PDN的长线导致的电压降,在一些部分中,第二裸片106中的电压可以是0.98V、0.95V等。
箭头108和110意图指示使用金属层的横向功率输送分布。粗箭头指示更多金属线。使用更多金属线以减少IR压降噪音,其中I是金属线的电流且R是金属线的电阻。展示箭头108比箭头110更窄。这是意图指示第二裸片106中所采用的金属线比第一裸片104中更多。这是为了对抗与第一裸片104中的电压降相比第二裸片106中的更大电压降。如上文所提及,由于电源/接地凸块102比靠近第二裸片106更靠近第一裸片104,因此第二裸片面临更大电压降。
还使用相应标记的箭头描绘垂直单片层间通孔(MIV)112和114。垂直MIV 112和114将电流/功率输送到第一裸片104和第二裸片106中的功能模块。应注意,垂直MIV 112和114仅位于所说明的单片3D-IC 100的边缘上。
应注意,相较于TSV,使用垂直MIV减少通孔占据的空间量。这是因为常规TSV较大,(例如)尺度为微米,然而MIV较小,(例如)尺度为纳米。
图2是根据本文中所描述的一或多个方面的用于单片3D-IC 200的PDN设计的横截面视图。单片3D-IC 200包含直接耦合到第一裸片204或与所述第一裸片接触的若干电源/接地凸块202,所述第一裸片堆叠于第二裸片206上。所说明的用于单片3D-IC 200的PDN设计包含箭头208以及垂直MIV 212和214,其类似于关于单片3D-IC 100所描述的箭头108以及垂直MIV 112和114。还展示包含不被限制于边缘的额外垂直MIV(例如222和224)的用于单片3D-IC 200的PDN设计。
用于单片3D-IC 200的PDN设计还包含第二裸片206中由箭头210指示的后续功率输送分布。与关于单片3D-IC 100所论述的箭头110相比,可见箭头210比箭头110窄得多。这是因为增添垂直MIV 222和224减少了在第二裸片206中所需要的横向电线的量。
还应注意,增添遍及单片3D-IC 200(包含在所说明的单片3D-IC 200的中间(即,不限于边缘)的垂直MIV 222和224(例如)与基于TSV的3D-IC相比可能不会增加垂直MIV所占据的空间量(因为TSV比MIV大得多,如先前所提及)。
图3是根据本文中所描述的一或多个方面的用于单片3D-IC 300的PDN设计的横截面视图。所说明的单片3D-IC 300包含若干与第一裸片304相邻且与接触所形成的焊料凸块或电源/接地凸块302,以及以面对背配置堆叠于第一裸片304上的第二裸片306,其中第二裸片306的面堆叠于第一裸片304的背上。第二裸片306通过第一裸片304与电源/接地凸块302分隔开。第一裸片304的面是第一裸片304的金属侧面,并且第一裸片304的背是第一裸片304的体硅侧面。第二裸片306的面是第二裸片306的金属侧面,并且第二裸片306的背是第二裸片306的体硅侧面。
第一裸片304包含第一裸片304的面上的全局PDN层308。第一裸片304还包含中间PDN层310和局部PDN层312。第一裸片304进一步包含第一裸片304的背侧上的层间电介质314。第二裸片306包含第二裸片306的面上的另一全局PDN层309、另一中间PDN层311、另一局部PDN层313和第二裸片306的背侧上的体硅层320。
对于第一裸片304,一或多个电源/接地(P/G)通孔322定位于第一裸片304中的全局PDN层308与中间PDN层310之间。类似地,一或多个电源/接地通孔324也定位于第一裸片304中的中间PDN层310与局部PDN层312之间。
对于第二裸片306,一或多个电源/接地通孔326定位于第二裸片306中的全局PDN层309与中间PDN层311之间,并且一或多个电源/接地通孔328定位于第二裸片306中的中间PDN层311与局部PDN层313之间。
若干(例如,数百、数千等)垂直MIV 330安置于第一裸片304的层间电介质314中。所说明的MIV 330也定位于第一裸片304中的局部PDN层312与第二裸片306中的全局PDN层309之间。在单片3D-IC 300的面对背配置中,MIV 330将第一裸片304的背耦合到第二裸片306的面。相较于TSV,使用垂直MIV 330减少通孔占据的空间量,如先前所论述。
此外,在此配置中,将功率从焊料凸块302经过第一裸片304中的全局PDN层308、第一裸片306中的电源/接地通孔322、第一裸片304中的中间PDN层310、第一裸片304中的电源/接地通孔324、第一裸片304中的局部PDN层312、MIV 330、第一裸片304的层间电介质314、第二裸片306中的全局PDN层309、第二裸片306中的电源/接地通孔326、第二裸片306中的中间PDN层311和第二裸片306中的局部PDN层313输送到第一裸片304和第二裸片306两者。
利用此面对背配置,虽然其工作良好,但是由于将功率从电源/接地凸块302输送到第一裸片304且输送到第二裸片306,因此在第二裸片306中的全局PDN层309、第二裸片306中的中间PDN层311和第二裸片306中的局部PDN层313处,第二裸片306中将存在电压降。因此,第二裸片306中的任何部件的性能可能会遭受缺乏理想电压和/或电流。
图4是根据本文中所描述的一或多个方面的用于单片3D-IC 400的PDN设计的横截面视图。所说明的单片3D-IC 400包含若干与第一裸片404相邻且与接触所形成的电源/接地凸块402,以及也以面对背配置堆叠于第一裸片404上的第二裸片406,其中第二裸片406的面堆叠于第一裸片404的背上。第二裸片406通过第一裸片404与电源/接地凸块402分隔开。第一裸片404的面是第一裸片404的金属侧面,并且第一裸片404的背是第一裸片404的体硅侧面。第二裸片406的面是第二裸片406的金属侧面,并且第二裸片406的背是第二裸片406的体硅侧面。
第一裸片404包含第一裸片404的面上的与电源/接地凸块402接触的全局PDN层408、中间PDN层410和局部PDN层412。第一裸片404还包含第一裸片404的背上的层间电介质414。
一或多个电源/接地通孔422定位于第一裸片404中的全局PDN层408与中间PDN层410之间。一或多个电源/接地通孔424定位于第一裸片404中的中间PDN层410与局部PDN层412之间。
若干垂直MIV 430安置于第一裸片404的层间电介质414中。在此面对背配置中,垂直MIV 430将第一裸片404的背耦合到第二裸片406的面。同样,相较于TSV,使用垂直MIV430减少通孔占据的空间量。
第二裸片406包含另一中间PDN层411、另一局部PDN层413和体硅层420。第二裸片406还包含定位于中间PDN层411与局部PDN层413之间的一或多个电源/接地通孔428。
单片3D-IC 400不同于单片3D-IC 300,原因在于第二裸片406并不具有另一全局PDN层,例如单片3D-IC300的全局PDN层309。相应地,第二裸片406也不具有应将此全局PDN层耦合到第二裸片406中的中间PDN层411的电源/接地通孔。
单片3D-IC 400不同于单片3D-IC 300,原因还在于第一裸片404包含第一裸片404中的一或多个旁路电源/接地通孔432(也称为第一旁路电源/接地通孔)和第二裸片406中的一或多个旁路电源/接地通孔434(也称为第二旁路电源/接地通孔)。垂直MIV 430使旁路电源/接地通孔432和旁路电源/接地通孔434彼此耦合。在一个实施方案中,第一裸片404中的一或多个电源/接地通孔432和第二裸片406中的一或多个旁路电源/接地通孔434可以由一或多个互连件耦合到一起,所述互连件可以包含铜垫片、垂直MIV430等。在一个实例中,可以以阵列(例如,5×5、10×10、50×50等的阵列)布置并且通过垂直MIV 430的相应阵列耦合旁路电源/接地通孔434和第一裸片404中的电源/接地通孔432。
因此,在单片3D-IC 400中,旁路电源/接地通孔432和旁路电源/接地通孔434允许将功率从电源/接地凸块402经过第一裸片404中的全局PDN层408输送到第二裸片406,为第一裸片406中的电源/接地通孔422设旁路,为第一裸片404中的中间PDN层410设旁路,为第一裸片404中的电源/接地通孔424设旁路,为第一裸片404中的局部PDN层412设旁路,以及为第一裸片404的层间电介质414设旁路。应注意,基于接触将功率从电源/接地凸块402直接输送到第一裸片404,并且(通过垂直MIV 430耦合的)旁路电源/接地通孔432和434将功率输送到第二裸片406而非输送到第一裸片404。
因此,至少旁路电源/接地通孔432和434将与电源/接地凸块402接触的全局PDN层408耦合到第二裸片406中的中间PDN层411。应注意,不具有全局PDN层和第二裸片406中的相关电源/接地通孔允许用以在单片3D-IC 400中更多部件的空间。
利用展示于图4中的面对背配置,由于将功率从电源/接地凸块402经过(通过垂直MIV 430耦合的)旁路电源/接地通孔432和434输送到第二裸片406,因此可以减小第二裸片406中的电压降和/或电压下降。因此,第二裸片406中的任何部件的性能可能不会遭受缺乏期望/理想电压和/或电流。
图5是根据本文中所描述的一或多个方面的用于单片3D-IC 500的PDN设计的横截面视图。所说明的单片3D-IC 500包含若干与第一裸片504相邻且接触所形成的焊料凸块或电源/接地凸块502,以及以面对面配置堆叠于第一裸片504上的第二裸片506,第二裸片506通过第一裸片504与电源/接地凸块502分隔开。第一裸片504的面是第一裸片504的金属侧面,并且第一裸片504的背是第一裸片504的体硅侧面。第二裸片506的面是第二裸片506的金属侧面,并且第二裸片506的背是第二裸片506的体硅侧面。
第一裸片504包含第一裸片504的背侧上的体硅层520、第一裸片504的面上的局部PDN层512和中间PDN层510以及全局PDN层508、第一裸片504的背侧上的层间电介质514。
第二裸片506包含第二裸片506的面上的另一全局PDN层509、另一中间PDN层511、另一局部PDN层513和第二裸片506的背侧上的体硅层520。
一或多个电源/接地通孔522定位于第一裸片504中的全局PDN层508与中间PDN层510之间。一或多个电源/接地通孔524定位于第一裸片504中的中间PDN层510与局部PDN层512之间。
一或多个电源/接地通孔526定位于第二裸片506中的全局PDN层509与中间PDN层511之间。一或多个电源/接地通孔528定位于第二裸片506中的中间PDN层511与局部PDN层513之间。
若干(例如,数百、数千等)垂直MIV 530安置于第一裸片504的层间电介质514中。所说明的垂直MIV 530也定位于第一裸片504中的全局PDN层508与第二裸片506中的全局PDN层509之间。在此配置中,垂直MIV 530将第一裸片504的面耦合到第二裸片506的面。同样,相较于TSV,使用垂直MIV 530减少通孔在单片3D-IC 500中占据的空间量。
此外,在图5的此面对面配置中,将功率从焊料凸块502经过第一裸片504中的局部PDN层512、第一裸片504中的电源/接地通孔524、第一裸片504中的中间PDN层510、第一裸片504中的电源/接地通孔522、第一裸片504中的全局PDN层508、MIV 530、第二裸片506中的全局PDN层509、第二裸片506中的电源/接地通孔526、第二裸片506中的中间PDN层511、第二裸片506中的电源/接地通孔528和第二裸片506中的局部PDN层513输送到第一裸片504和第二裸片506两者。
利用此面对面配置,虽然其工作良好,但是由于将功率从焊料凸块502耦合到第一裸片504且耦合到第二裸片506,因此在第二裸片506中的全局PDN层509、第二裸片506中的中间PDN层511和第二裸片506中的局部PDN层513处,第二裸片506中将存在电压降。因此,第二裸片506中的任何部件的性能可能会遭受缺乏期望/理想电压和/或电流。
图6是根据本文中所描述的一或多个方面的用于单片3D-IC 600的PDN设计的横截面视图。所说明的单片3D-IC 600包含若干与第一裸片604相邻且接触所形成的焊料凸块或电源/接地凸块602,以及以面对背配置堆叠于第一裸片604上的第二裸片606,第二裸片606通过第一裸片604与电源/接地凸块602分隔开。第一裸片604的面是第一裸片604的金属侧面,并且第一裸片604的背是第一裸片604的体硅侧面。第二裸片606的面是第二裸片606的金属侧面,并且第二裸片606的背是第二裸片606的体硅侧面。
第一裸片604包含第一裸片604的背侧上的体硅层620、第一裸片604的面上的局部PDN层612和中间PDN层610以及全局PDN层608、第一裸片604的面上的层间电介质614。
第二裸片606包含另一中间PDN层611、另一局部PDN层613和第二裸片606的背侧上的体硅层620。
一或多个电源/接地通孔622定位于第一裸片604中的全局PDN层608与中间PDN层610之间。一或多个电源/接地通孔624定位于第一裸片604中的中间PDN层610与局部PDN层612之间。
若干垂直MIV 630安置于第一裸片604的层间电介质614中。在此面对面配置中,垂直MIV 630将第一裸片604的面耦合到第二裸片606的面。如先前,相较于TSV,使用垂直MIV630减少通孔占据的空间量。
第二裸片606包含另一中间PDN层611、另一局部PDN层613和体硅层620。第二裸片606还包含定位于中间PDN层610与局部PDN层612之间的若干电源/接地通孔628。
单片3D-IC 600不同于单片3D-IC 500,原因在于第二裸片606不具有另一全局PDN层,例如单片3D-IC 500的全局PDN层509。第二裸片606也不具有应将此全局PDN耦合到第二裸片606中的中间PDN层611的电源/接地通孔。
单片3D-IC 600不同于单片3D-IC 500,原因还在于第一裸片604包含第一裸片604中的若干旁路电源/接地通孔632(也称为第一旁路电源/接地通孔)和第二裸片606中的若干旁路电源/接地通孔634(也称为第二旁路电源/接地通孔)。MIV 630使旁路电源/接地通孔632和旁路电源/接地通孔634彼此耦合。
在一个实施方案中,第一裸片604中的一或多个电源/接地通孔632和第二裸片606中的一或多个旁路电源/接地通孔634可以由一或多个互连件耦合到一起,所述互连件可以包含铜垫片、垂直MIV 430等。在一些实施方案中,可以以阵列(例如,5×5、10×10、50×50等)布置并且通过垂直MIV 630的相应阵列耦合第一裸片604中的旁路电源/接地通孔632和第二裸片606中的电源/接地通孔634。
因此,在单片3D-IC 600中,将功率从电源/接地凸块602直接输送到第一裸片604。(通过垂直MIV 630耦合的)旁路电源/接地通孔632和634将功率从电源/接地凸块602输送到第二裸片606,为第一裸片604设旁路。因此,至少旁路电源/接地通孔632和634将电源/接地凸块602耦合到第二裸片606中的中间PDN层611。另外,还应注意,在第二裸片606中不具有全局PDN和电源/接地通孔允许在单片3D-IC 600中制造更多部件的空间。
利用图6中的配置,由于将功率从电源/接地凸块602经过(通过垂直MIV 630耦合的)旁路电源/接地通孔632和634输送到第二裸片606,因此可以减小第二裸片606中的电压降和/或电压下降。因此,第二裸片606中的任何部件的性能可能不会遭受缺乏理想电压和/或电流。
图7是说明根据本文中所描述的技术的一或多个实施方案的设计用于单片3D-IC的PDN的方法700的流程图。单片3D-IC可以以面对面配置或面对背配置来制造。
在框702中,方法700包含制造单片3D-IC(例如,400/600)的第一裸片(例如,404/604)和形成与第一裸片相邻且接触的电源/接地凸块(例如,402/602)。
在框704中,方法700包含在第一裸片上堆叠第二裸片(例如,406/606),第二裸片通过第一裸片与电源/接地凸块分隔开。
在框706中,方法700包含在第一和第二裸片中嵌入旁路电源/接地通孔(例如,432、434/632、634)以将第二裸片连接到电源/接地凸块。在一或多个实施方案中,方法700包含使用旁路电源/接地通孔432/632、垂直MIV 430/630和旁路电源/接地通孔434/634来将功率从电源/接地凸块402/602输送到第二裸片406/606。
在一或多个实施方案中,所公开的方面还包含一种系统,其包含单片三维集成电路(3D-IC)(例如,400/600)。所述系统包含用于输送与单片3D-IC的第一裸片(例如,404/604)接触且相邻的功率(例如,电源/接地凸块402/602)的装置。单片3D-IC的第二裸片(例如,406/606)堆叠于第一裸片上,第二裸片通过第一裸片与用于输送功率的装置分隔开。用于为裸片(例如,旁路电源/接地通孔432/632、垂直MIV 430/630和旁路电源/接地通孔434/634)设旁路的装置被配置成将功率从用于输送功率的装置输送到第二裸片。
图8是展示示范性无线通信系统的框图,其中可以采用根据本文中所描述的技术的一或多个实施方案的用于单片3D-IC的PDN设计。图8展示三个远程单元820、830和850以及两个基地台840。应认识到,所描绘的无线通信系统可能具有额外远程单元和/或基地台,尽管这些尚未具体地说明或描述。
远程单元820、830和850中的任一个可包含例如本文中所描述的用于单片3D-IC的PDN设计。图8示出了来自基站840以及远程单元820、830和850的前向链路信号880,以及从远程单元820、830和850到基站840的反向链路信号890。
在图8中,远程单元820展示为移动电话,远程单元830展示为便携式计算机,且远程单元850展示为无线本地环路系统中的固定位置远程单元。举例来说,远程单元可以是手机、手持式个人通信系统(PCS)单元、例如个人数据助理等便携式数据单元,或例如仪表读取设备等固定位置数据单元。
本文中所描述的技术和相关图式的方面是针对技术的具体实施方案。可在不脱离本文中所描述的技术的范围的情况下设计替代性实施方案。另外,将不详细地描述或将省去技术的熟知元件以免混淆相关细节。
尽管在此公开中可能已连续地描述各种方法的步骤和决策,但可由单独元件共同或平行地、异步地或同步地、以管线化方式或以其它方式执行这些步骤和决策中的一些。除非明确地如此指示、另外从上下文清楚或固有地要求,否则不存在以与此描述列出步骤和决策的次序相同的次序执行所述步骤和决策的特定要求。然而,应注意,在选定的变量中,以上文所描述的次序执行所述步骤和决策。此外,在根据本文中所描述的技术的每一实施方案/变量中可能不要求每一所说明的步骤和决策,而未经具体地说明的一些步骤和决策在根据本文中所描述的技术的一些实施方案/变量中可为合意的或必要的。
所属领域的技术人员将理解,可以使用多种不同技术和技艺中的任一个来表示信息和信号。举例来说,可通过电压、电流、电磁波、磁场或磁粒子、光场或光粒子或其任何组合来表示在整个上文描述中可能参考的数据、指令、命令、信息、信号、位、符号和码片。
所属领域的技术人员将进一步了解,结合本文中所公开的实施方案描述的各个说明性逻辑块、模块、电路和算法步骤可实施为电子硬件、计算机软件或两者的组合。为清晰地展示硬件与软件的此可互换性,上文已大体上就其功能性描述了各种说明性组件、块、模块、电路和步骤。此功能性被实施为硬件、软件还是硬件与软件的组合取决于施加于整个系统上的特定应用和设计约束条件。所属领域的技术人员可针对每一特定应用以不同方式实施所描述的功能性,但此类实施决策不应被解释为引起偏离本文中所描述的本发明技术的范围。
结合本文中所公开的实施方案描述的各种说明性逻辑块、模块和电路可用以下各项来实施或执行:通用处理器、数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或其它可编程逻辑装置、离散门或晶体管逻辑、离散硬件组件或经设计以执行本文中所描述的功能的其任何组合。通用处理器可以是微处理器,但在替代方案中,处理器可为任何常规的处理器、控制器、微控制器或状态机。处理器还可以实施为计算装置的组合,例如,DSP与微处理器的组合、多个微处理器、结合DSP核心的一或多个微处理器,或任何其它此类配置。
结合本文中所公开的方面描述的方法或算法的步骤可直接在硬件中、在由处理器执行的软件模块中或在两者的组合中实施。软件模块可驻存在RAM存储器、快闪存储器、ROM存储器、EPROM存储器、EEPROM存储器、寄存器、硬盘、可装卸磁盘、CD-ROM,或此项技术中已知的任何其它形式的存储媒体中。示范性存储媒体耦合到处理器,使得处理器可以从存储媒体读取信息并且将信息写入到存储媒体。在替代方案中,存储媒体可集成到处理器。处理器和存储媒体可以驻存于ASIC中。ASIC可以驻存于接入终端中。替代地,处理器和存储媒体可以作为离散组件驻存于接入终端中。
提供对所公开的实施方案的先前描述以使得所属领域的技术人员能够制作或使用本文中所描述的技术。所属领域的技术人员将易于了解对这些实施方案的各种修改,且本文中定义的一般原理可在不脱离本文中所描述的技术的精神或范围的情况下应用于其它实施方案。因此,本文中所描述的技术的方面并不意欲限于本文中所展示的实施方案,而应被赋予与本文中所公开的原理和新颖特征相一致的最广范围。

Claims (20)

1.一种用于在单片三维集成电路3D-IC中输送功率的设备,其包括:
第一裸片,其与电源/接地凸块相邻及接触;
第二裸片,其堆叠于所述第一裸片上,所述第二裸片通过所述第一裸片与所述电源/接地凸块分隔开;以及
一或多个旁路电源/接地通孔,其将功率从所述电源/接地凸块输送到所述第二裸片。
2.根据权利要求1所述的设备,其中所述旁路电源/接地通孔包含形成于所述第一裸片中的至少一第一旁路电源/接地通孔和形成于所述第二裸片中的至少一第二旁路电源/接地通孔。
3.根据权利要求2所述的设备,其进一步包括与所述第一和第二旁路电源/接地通孔耦合的一或多个互连件。
4.根据权利要求3所述的设备,其中所述一或多个互连件包含铜垫片。
5.根据权利要求3所述的设备,其中所述一或多个互连件包含一或多个垂直单片层间通孔MIV。
6.根据权利要求1所述的设备,其中包括所述第二裸片的金属侧面的所述第二裸片的面以面对面配置堆叠于包括所述第一裸片的金属侧面的所述第一裸片的面上。
7.根据权利要求6所述的设备,其中所述第一裸片包括形成于所述第一裸片的所述面上的全局功率输送网络PDN层,并且所述第二裸片不包括全局PDN层。
8.根据权利要求7所述的设备,其中至少所述一或多个旁路电源/接地通孔将形成于所述第一裸片的所述面上的所述全局功率输送网络PDN层耦合到形成于所述第二裸片中的中间PDN层。
9.根据权利要求1所述的设备,其中包括所述第二裸片的金属侧面的所述第二裸片的面以面对背配置堆叠于包括所述第一裸片的体硅裸片的所述第一裸片的背上。
10.根据权利要求9所述的设备,其中所述第一裸片包括全局功率输送网络PDN层,并且所述第二裸片不包括全局PDN层。
11.根据权利要求10所述的设备,其中至少所述一或多个旁路电源/接地通孔将所述电源/接地凸块耦合到形成于所述第二裸片中的中间PDN层。
12.一种用于在单片三维集成电路3D-IC中输送功率的方法,所述方法包括:
形成与第一裸片相邻且接触的电源/接地凸块;
将第二裸片堆叠于所述第一裸片上,所述第二裸片通过所述第一裸片与所述电源/接地凸块分隔开;以及
使用一或多个旁路电源/接地通孔将功率从所述电源/接地凸块输送到所述第二裸片。
13.根据权利要求12所述的方法,其包括在所述第一裸片中形成至少一第一旁路电源/接地通孔以及在所述第二裸片中形成至少一第二旁路电源/接地通孔。
14.根据权利要求13所述的方法,其进一步包括使用铜垫片来互连所述第一和第二旁路电源/接地通孔。
15.根据权利要求13所述的方法,其进一步包括使用垂直单片层间通孔MIV来互连所述第一和第二旁路电源/接地通孔。
16.根据权利要求12所述的方法,其包括以面对面配置将包括所述第二裸片的金属侧面的所述第二裸片的面堆叠于包括所述第一裸片的金属侧面的所述第一裸片的面上。
17.根据权利要求16所述的方法,其包括使用至少所述一或多个旁路电源/接地通孔来将形成于所述第一裸片的所述面上且与所述电源/接地凸块接触的全局功率输送网络PDN层耦合到形成于所述第二裸片中的中间PDN层。
18.根据权利要求12所述的方法,其包括以面对背配置将包括所述第二裸片的金属侧面的所述第二裸片的面堆叠于包括所述第一裸片的体硅裸片的所述第一裸片的背上。
19.根据权利要求18所述的方法,其包括使用至少所述一或多个旁路电源/接地通孔来将所述电源/接地凸块耦合到形成于所述第二裸片中的中间PDN层。
20.一种系统,其包括:
单片三维集成电路3D-IC;
用于输送与所述单片3D-IC的第一裸片接触且与相邻的功率的装置;
所述单片3D-IC的第二裸片,其堆叠于所述第一裸片上,所述第二裸片通过所述第一裸片与用于输送功率的所述装置分隔开;以及
用于为裸片设旁路的装置,以将功率从用于输送功率的所述装置输送到所述第二裸片。
CN201680022063.4A 2015-04-29 2016-04-14 用于单片三维(3-d)集成电路(ic)的功率输送网络(pdn)设计 Active CN107534039B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/698,842 US9741691B2 (en) 2015-04-29 2015-04-29 Power delivery network (PDN) design for monolithic three-dimensional (3-D) integrated circuit (IC)
US14/698,842 2015-04-29
PCT/US2016/027406 WO2016176046A1 (en) 2015-04-29 2016-04-14 Power delivery network (pdn) design for monolithic three-dimensional (3-d) integrated circuit (ic)

Publications (2)

Publication Number Publication Date
CN107534039A true CN107534039A (zh) 2018-01-02
CN107534039B CN107534039B (zh) 2019-11-19

Family

ID=55806861

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680022063.4A Active CN107534039B (zh) 2015-04-29 2016-04-14 用于单片三维(3-d)集成电路(ic)的功率输送网络(pdn)设计

Country Status (6)

Country Link
US (1) US9741691B2 (zh)
EP (1) EP3289610A1 (zh)
JP (1) JP2018514948A (zh)
KR (1) KR20180002633A (zh)
CN (1) CN107534039B (zh)
WO (1) WO2016176046A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715131B (zh) * 2018-07-31 2021-01-01 台灣積體電路製造股份有限公司 三維積體電路電源網與其形成方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9712168B1 (en) * 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
EP3324436B1 (en) * 2016-11-21 2020-08-05 IMEC vzw An integrated circuit chip with power delivery network on the backside of the chip
US10121743B2 (en) 2017-03-29 2018-11-06 Qualcomm Incorporated Power distribution networks for a three-dimensional (3D) integrated circuit (IC) (3DIC)
FR3077925B1 (fr) * 2018-02-14 2021-06-18 Commissariat Energie Atomique Circuit integre tridimensionnel face a face de structure simplifiee
FR3082656B1 (fr) 2018-06-18 2022-02-04 Commissariat Energie Atomique Circuit integre comprenant des macros et son procede de fabrication
KR20200089970A (ko) 2019-01-18 2020-07-28 삼성전자주식회사 집적회로 칩과 이를 포함하는 집적회로 패키지 및 디스플레이 장치
KR20200133092A (ko) 2019-05-16 2020-11-26 삼성전자주식회사 반도체 소자
US11954040B2 (en) * 2020-06-15 2024-04-09 Arm Limited Cache memory architecture
KR20220057116A (ko) 2020-10-29 2022-05-09 삼성전자주식회사 반도체 패키지
KR20220070145A (ko) 2020-11-20 2022-05-30 삼성전자주식회사 반도체 패키지
US11455454B2 (en) * 2020-11-24 2022-09-27 Arm Limited Methods and apparatuses for concurrent coupling of inter-tier connections
US20220181263A1 (en) * 2020-12-04 2022-06-09 Lars Liebmann Inter-tier power delivery network (pdn) for dense gate-on-gate 3d logic integration

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101330076A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 穿透硅通道芯片堆叠封装
CN102347316A (zh) * 2010-07-29 2012-02-08 台湾积体电路制造股份有限公司 三维集成电路结构
US20130036606A1 (en) * 2008-09-11 2013-02-14 Brent Keeth Signal delivery in stacked device
CN103119703A (zh) * 2010-09-23 2013-05-22 高通Mems科技公司 集成的无源器件和功率放大器
KR20140085742A (ko) * 2012-12-27 2014-07-08 한양대학교 산학협력단 3차원 전력 공급 네트워크에서 파워 패턴을 이용한 전력 관통전극 및 전력 범프의 개수를 최소화하는 방법
US20140264862A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure and Method
US20150059362A1 (en) * 2013-08-29 2015-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cooling system for 3d ic

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101024241B1 (ko) 2008-12-26 2011-03-29 주식회사 하이닉스반도체 반도체 장치 및 그를 포함하는 반도체 패키지
US8344512B2 (en) 2009-08-20 2013-01-01 International Business Machines Corporation Three-dimensional silicon interposer for low voltage low power systems
US8450804B2 (en) * 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8276002B2 (en) 2009-11-23 2012-09-25 International Business Machines Corporation Power delivery in a heterogeneous 3-D stacked apparatus
US8445918B2 (en) 2010-08-13 2013-05-21 International Business Machines Corporation Thermal enhancement for multi-layer semiconductor stacks
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101330076A (zh) * 2007-06-20 2008-12-24 海力士半导体有限公司 穿透硅通道芯片堆叠封装
US20130036606A1 (en) * 2008-09-11 2013-02-14 Brent Keeth Signal delivery in stacked device
CN102347316A (zh) * 2010-07-29 2012-02-08 台湾积体电路制造股份有限公司 三维集成电路结构
CN103119703A (zh) * 2010-09-23 2013-05-22 高通Mems科技公司 集成的无源器件和功率放大器
KR20140085742A (ko) * 2012-12-27 2014-07-08 한양대학교 산학협력단 3차원 전력 공급 네트워크에서 파워 패턴을 이용한 전력 관통전극 및 전력 범프의 개수를 최소화하는 방법
US20140264862A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure and Method
US20150059362A1 (en) * 2013-08-29 2015-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cooling system for 3d ic

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715131B (zh) * 2018-07-31 2021-01-01 台灣積體電路製造股份有限公司 三維積體電路電源網與其形成方法
US11081426B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC power grid
US11923271B2 (en) 2018-07-31 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC power grid

Also Published As

Publication number Publication date
KR20180002633A (ko) 2018-01-08
CN107534039B (zh) 2019-11-19
WO2016176046A1 (en) 2016-11-03
EP3289610A1 (en) 2018-03-07
US20160322331A1 (en) 2016-11-03
US9741691B2 (en) 2017-08-22
JP2018514948A (ja) 2018-06-07

Similar Documents

Publication Publication Date Title
CN107534039A (zh) 用于单片三维(3‑d)集成电路(ic)的功率输送网络(pdn)设计
CN108352638B (zh) 具有直接功率的返工栅格阵列插入器
US9041212B2 (en) Thermal design and electrical routing for multiple stacked packages using through via insert (TVI)
KR101971195B1 (ko) 패키지 기판 내에 임베딩된 인덕터
US20160006150A1 (en) Orthogonal backplane design with reduced chassis depth
TW200428642A (en) Multilayer capacitor with multiple plates per layer
TWI605545B (zh) 具有經修改後電流分布之半導體裝置及其形成之方法
US10886670B2 (en) PCB-based connector device
EP2535931A3 (en) Integrated circuit inductors with intertwined conductors
JP6377178B2 (ja) 埋込型パッケージ基板コンデンサ
CN106489191A (zh) 包括同轴互连的集成器件
WO2016094252A1 (en) Package substrate comprising capacitor, redistribution layer and discrete coaxial connection
CN104160503A (zh) 模块、模块组合体以及模块的制造方法
JP2017508281A5 (zh)
US11676950B2 (en) Via-in-via structure for high density package integrated inductor
CN103545270A (zh) 半导体装置和具有半导体装置的堆叠半导体封装
TWI326142B (en) Signal exchange system and transforming connector thereof
CN106982508A (zh) 印刷电路板以及包括该印刷电路板的半导体封装
US20110260335A1 (en) Power supply interconnect structure of semiconductor integrated circuit
US11328968B2 (en) Stacked die cavity package
TWI573515B (zh) 疊板構造
US11158568B2 (en) Package with wall-side capacitors
CN105789918B (zh) 一种分离电路的元器件堆积式连接实现方法及电路
US11282633B2 (en) Device with out-plane inductors
CN107567183A (zh) 线路板、电气连接组件以及电子设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant