CN107533576B - 针对使用电路模板的电路设计的提取的布局依赖效应的重用 - Google Patents

针对使用电路模板的电路设计的提取的布局依赖效应的重用 Download PDF

Info

Publication number
CN107533576B
CN107533576B CN201780001284.8A CN201780001284A CN107533576B CN 107533576 B CN107533576 B CN 107533576B CN 201780001284 A CN201780001284 A CN 201780001284A CN 107533576 B CN107533576 B CN 107533576B
Authority
CN
China
Prior art keywords
circuit
integrated circuit
layout
segment
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780001284.8A
Other languages
English (en)
Other versions
CN107533576A (zh
Inventor
D·J·奥莱尔登
F·G·K·森德格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
American Business Synopsys Technology Co ltd
Original Assignee
American Business Synopsys Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Business Synopsys Technology Co ltd filed Critical American Business Synopsys Technology Co ltd
Priority to CN202111154817.0A priority Critical patent/CN114091396A/zh
Publication of CN107533576A publication Critical patent/CN107533576A/zh
Application granted granted Critical
Publication of CN107533576B publication Critical patent/CN107533576B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/12Symbolic schematics
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/12Printed circuit boards [PCB] or multi-chip modules [MCM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/20Design reuse, reusability analysis or reusability optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种针对使用电路模板的电路设计的提取的布局依赖效应的重用的方法包括接收包括电路段的集成电路的原理图。对应于电路段的电路模板在第二集成电路的原理图中被实例化。电路模板包括从第一集成电路的布局提取的电路段的布局依赖效应信息。使用电路段的布局依赖效应信息对第二集成电路的原理图执行仿真。响应于执行仿真而产生对应于电路段的第二集成电路的至少一部分的布局。

Description

针对使用电路模板的电路设计的提取的布局依赖效应的重用
技术领域
本申请要求于2016年2月25日提交的美国临时申请No.62/299,968以及于2016年2月26日提交的美国临时申请No.62/300,594的优先权,其全部内容通过引用并入本文。
背景技术
本公开总体上涉及集成电路的设计,并且具体地涉及针对使用电路模板的电路设计的提取的布局依赖效应(LDE)的重用。
相关技术的描述
集成电路的设计流程通常包括晶体管级设计和仿真以产生干净原理图设计的步骤。设计流程还包括为仿真原理图创建布局并且在该布局上运行布局对原理图(LVS)检查和设计规则检查(DRC)。LVS是指确定特定集成电路布局是否对应于原始原理图设计,而DRC是指确定特定芯片的物理布局是否满足一系列称为设计规则的推荐参数。一旦布局确定为LVS和DRC干净,就可以从布局中提取诸如电路寄生效应(例如电线的电容)的布局依赖效应(LDE)信息。LDE信息可以反向注释到布局前原理图,并执行重新仿真以确定LDE对设计性能的影响。LDE信息提取、反向注释和重新仿真通常导致设计更改,从而导致额外的布局变化,从而导致更多的设计迭代。在较小的几何工艺中,LDE对集成电路设计的影响较大,这种现象变得更加严重。
发明内容
实施例涉及针对使用电路模板的电路设计的提取的LDE信息的重用。从布局中提取电路段的LDE信息以生成电路模板。电路模板在集成电路的原理图中实例化。使用电路段的LDE信息在原理图上进行仿真,而不产生集成电路的布局。
在一个实施例中,接收包括电路段的第一集成电路的原理图。在第二集成电路的原理图中实例化对应于电路段的电路模板。电路模板包括从第一集成电路的布局提取的电路段的布局依赖效应信息。使用电路段的布局依赖效应信息对第二集成电路的原理图执行仿真。响应于执行仿真而产生对应于电路段的第二集成电路的至少一部分的布局。
在一个实施例中,接收包括电路段的第一集成电路的原理图。通过至少参考对应于电路段的电路模板中的电路段的LDE信息,对包含电路段的第二集成电路的原理图执行仿真。从第一集成电路的布局中提取用于电路段的LDE信息。响应于执行仿真而产生对应于电路段的第二集成电路的至少一部分的布局。
在一个实施例中,LDE包括以下中的一个或多个:电路段的部件和节点的寄生信息;电路段的部件的多晶硅间隔和多晶硅长度效应;电路段的部件的浅沟槽隔离效应;以及电路段的部件的阱邻近效应。
在一个实施例中,提取用于电路段的LDE信息包括从第一集成电路的布局提取用于第一集成电路的LDE信息。对提取的第一集成电路的LDE信息进行过滤,以识别与电路段中的部件和节点相对应的LDE信息。
在一个实施例中,提取的LDE信息的过滤包括解析用于第一集成电路的提取的LDE信息,以构建解析树。遍历解析树,并去除不对应于电路段中的部件和节点的LDE信息。剩余的LDE信息被写入电路模板。
在一个实施例中,第一集成电路的原理图中的电路段的部件和节点的名称被标准化以包括在电路模板中。
在一个实施例中,从第一集成电路的布局中提取层映射信息,并且层映射信息被写入电路模版。
在一个实施例中,实例化电路模板包括生成用于第二集成电路的原理图的映射。该映射将第二集成电路原理图中的部件和节点的实际名称与电路模板中的经标准化的名称相关联。
在一个实施例中,实例化电路模板包括使用电路模板中的层映射信息和包括用于第二集成电路的层特定因子的制造信息来重新调整电路模板中的电路段的LDE信息。
在一个实施例中,电路段对应于一个或多个电路模板。每个电路模板均对应于电路段的不同物理实现。
在一个实施例中,对于与电路段对应的每个电路模板,使用电路模板中的LDE信息对第二集成电路的原理图执行仿真。基于用于实例化第二集成电路的原理图中的所选择的电路模板的仿真来选择一个或多个电路模板中的一个。
在一个实施例中,在不产生第二集成电路的布局的情况下对第二集成电路的原理图执行仿真。
在一个实施例中,生成第一集成电路的布局。从第一集成电路的布局中提取电路段的LDE信息。
附图说明
通过结合附图考虑以下详细描述,可以容易地理解本发明实施例的教导。
图1是示出根据实施例的用于设计和制造集成电路的各种操作的示例流程。
图2是示出根据实施例的用于执行电路的定制设计的示例性计算设备的高级框图。
图3是示出根据一个实施例的使用电路模板的提取的布局依赖效应(LDE)的重用的示例系统的框图。
图4是根据实施例的包括电路段的示例集成电路的电路图。
图5是示出根据实施例的从图4的电路模板实例化到示例集成电路的电路图。
图6是示出根据实施例的使用电路模板的提取的布局依赖效应的重用的过程的流程图。
附图仅仅为了说明的目的描绘了本发明的各种实施例。本领域技术人员将从下面的讨论中容易地认识到,在不脱离本文描述的原理的情况下,可以采用本文所示的结构和方法的替代实施例。
具体实施方式
在以下对实施例的描述中,阐述了许多具体细节以便提供更彻底的理解。然而,注意,可以在没有这些具体细节中的一个或多个的情况下实践本发明。在其他情况下,还没有详细描述众所周知的特征,以避免不必要地使描述复杂化。
这里参考附图描述实施例,其中相同的附图标记表示相同或功能相似的元件。同样在图中,每个附图标记的最左边的数字对应于首先使用参考号的图形。
实施例涉及使用电路模板的提取的LDE的重用。从布局中提取电路段的LDE信息,并将其包括在电路模板中。使用电路模板,相应的电路段可以在集成电路的原理图中实例化。可以使用LDE信息来执行原理图上的更准确的仿真,这导致对集成电路的设计更改的迭代次数更少。
本文描述的电路模板是指包含用于电路段的降低的连接性信息的表示的电路段的设计抽象,以及用于与电路段相关联的部件和节点的LDE信息。电路模板还可以包含电路段的部件和节点的设计约束或标准化名称。
EDA设计流程概述
图1是示出根据实施例的用于设计和制造集成电路的各种操作的示例流程100。设计过程100从产生产品创意110开始,该产品创意是在使用电子设计自动化(EDA)软件112的设计过程中实现的。当设计完成时,可以使其下线(tape-out)134。在下线134之后,制造136半导体管芯以形成集成电路设计中的各种物体(例如栅极、金属层、通孔)。执行封装和组装过程138,从而生产出成品芯片140。
EDA软件112可以在一个或多个计算设备中实现,例如下文参照图2示出和描述的计算设备200。例如,EDA软件112作为指令存储在计算机可读介质中,该指令由处理器执行,用于执行下文描述的设计流程的操作114-132。此设计流程描述仅供说明之用。具体地,该描述并不意味着限制本公开。例如,实际的集成电路设计可能需要设计人员以与本文所描述的顺序不同的顺序执行设计操作。
在系统设计114期间,设计人员描述要实现的功能。他们还可以执行假设计划(what-if planning)来优化功能并检查成本。应注意,硬件-软件架构分区可能在此阶段发生。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:
Figure GDA0003114467650000051
Figure GDA0003114467650000052
Figure GDA0003114467650000053
产品。
在原理图捕获和验证116期间,写入电路中的模块的VHDL或Verilog代码,并检查设计的功能准确性。更具体地,检查设计以确保其产生正确的输出。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品包括:
Figure GDA0003114467650000054
Figure GDA0003114467650000055
Figure GDA0003114467650000056
产品。
在测试的合成和设计118期间,将VHDL/Verilog转换为网表。该网表可针对目标技术进行优化。此外,可以设计和实施测试以检查成品芯片。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品包括:
Figure GDA0003114467650000057
Figure GDA0003114467650000058
Figure GDA0003114467650000059
产品。
在网表验证120期间,检查网表以符合时序约束并且符合VHDL/Verilog源代码。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:
Figure GDA00031144676500000510
Figure GDA00031144676500000511
产品。
在设计计划122期间,构建并分析芯片的总平面图,用于定时和顶层布线。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:
Figure GDA00031144676500000512
Figure GDA00031144676500000513
产品。
在物理实现124期间,发生放置(电路元件的定位)和布线(对其进行连接)。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:Custom Compiler、
Figure GDA0003114467650000061
Figure GDA0003114467650000062
产品。本文描述的实施例主要涉及物理实现124。
在电路分析126期间,在晶体管级验证电路功能,这允许精细化。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:
Figure GDA0003114467650000063
和Star
Figure GDA0003114467650000064
产品。在物理验证128期间,检查设计以确保:制造、电气问题、光刻问题和电路系统的正确性。
在分辨率增强130期间,执行布局的几何操作以提高设计的可制造性。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括:
Figure GDA0003114467650000065
AF和
Figure GDA0003114467650000066
产品。
在掩模数据准备132期间,提供用于产生用于生产成品芯片的掩模的“下线”数据。可在此阶段使用的加州山景城Synopsys公司的EDA软件产品示例包括
Figure GDA0003114467650000067
系列产品。
可以在逻辑设计和功能验证116阶段执行正式验证116。低功率设计规范通常在用于测试118或网表验证120的合成和设计阶段期间被处理。
示例计算设备
图2是示出根据实施例的用于设计集成电路的示例性计算设备200的高级框图。计算设备200包括耦合到芯片组204的至少一个处理器202。芯片组204包括存储器控制器集线器220和输入/输出(I/O)控制器集线器222。存储器206和图形适配器212耦合到存储器控制器集线器220,以及显示器218耦合到图形适配器212。存储设备208、键盘210、指向设备214和网络适配器216耦合到I/O控制器集线器222。计算设备200的其他实施例具有不同的架构。
存储设备208是诸如硬盘驱动器、光盘只读存储器(CD-ROM)、DVD或固态存储器设备之类的非暂时性计算机可读存储介质。存储器206保持由处理器202使用的指令和数据。指向设备214是鼠标、轨迹球或其他类型的指向设备,并且与键盘210组合使用以将数据输入到计算设备200中。图形适配器212在显示器218上显示图像和其他信息。网络适配器216将计算设备200耦合到一个或多个计算机网络。
计算设备200适于执行用于提供本文所描述的功能的计算机程序模块。如本文所使用的,术语“模块”是指用于提供指定功能的计算机程序逻辑。因此,可以在硬件、固件和/或软件中实现模块。在一个实施例中,程序模块存储在存储设备208上,被加载到存储器206中并由处理器202执行。所使用的计算设备200的类型可以根据实施例和要求而变化。例如,计算设备可能缺少图2所示的显示器、键盘和/或其他设备。
以下参考下文的图3详细描述的交互式电路设计系统300可以由计算设备200实现。
重用布局依赖效应的示例系统
图3是示出根据一个实施例的用于基于电路模板重用提取的LDE信息的示例系统的框图。交互式电路设计系统300通过在设计人员输入被接收时显示、建立和修改部件和连接来交互地进行实例化和路由部件和连接的操作(而不是在接收到一系列设计人员输入之后批处理部件和连接,而不中间显示部件和连接)。
为此,交互式电路设计系统300与电路设计数据库350进行通信,并通过输入设备(例如,键盘210、鼠标214)和输出设备(例如,显示设备218)与设计人员进行交互。由设计人员经由输入设备210、214提供的设计人员输入可以指示交互式电路设计系统300在集成电路的原理图中实例化电路模板,建立或修改去往或来自电路段的、在电路段的节点和部件之间的连接,并且在显示器218上显示这样的连接。
电路设计数据库350是与交互式电路设计系统300交互以存储原理图和布局数据352以及修改的原理图和布局数据354的数据库。如本文所使用的物理布局数据352包括物理几何布局的图案、原理图布局映射信息(以下称为“映射信息”)、金属层数量以及与制造电路相关的物理工艺。映射信息可以指示一个或多个电路元件中的节点到一个或多个节点子集的分组,以及一个或多个电路元件中的节点或部件与一个或多个电路元件中的其他节点或部件的对应关系。
经修改的原理图和物理布局数据354是通过交互式电路设计系统300相对于原始原理图和物理布局数据352进行修改的电路数据的版本。图案的物理几何布局是电路的数字表示,例如,GDSII格式。经修改的原理图和物理布局数据354包括在物理布局数据352中不存在于图案的物理几何布局中的路由连接的模式的修改的布置、修改的原理图或物理几何布局。表示新生成的电路连接的附加信息被包括在经修改的物理布局数据354中。
电路设计数据库350可以例如被实现为OpenAccess数据库。尽管电路设计数据库350被描述为与交互式电路设计系统300分离的组件,但是电路设计数据库350可以是交互式电路设计系统300的一部分。例如,电路设计数据库350可以是存储器206中的模块。
交互式电路设计系统300可以包括I/O控制器集线器222、存储器206和图形适配器212等。I/O控制器集线器222从输入设备210和214接收设计人员输入以及来自交互式电路设计系统300外部的电路设计数据库350的原理图和物理布局数据,处理接收到的设计人员输入以及接收的原理图和物理布局数据,并将处理的信息提供给存储器206。
除了其他模块之外,存储器206可以包括示意性设计模块304、电路仿真器308、物理实现模块312、模板生成模块316、设计规则检查(DRC)模块320和布局对原理图(LVS)模块324。存储器206可以包括图3中未示出的其他软件组件(例如,操作系统)。存储器206还可以仅包括这些模块的子集。
示意图设计模块304是使用抽象的图形符号创建集成电路的部件、节点和其他元件的表示(例如,下面参照图4所示的集成电路400)以及文字表示的软件模块。原理图设计模块304创建使用符号来表示部件并强调它们的互连路径的集成电路的原理图。原理图设计模块304可以包括原理图捕获工具和原理图输入工具。原理图设计模块304可以集成到整个电路设计流程中并且链接到其他EDA工具以用于电路的验证和仿真。原理图设计模块304在原理图设计期间在集成电路的原理图中实例化电路模板,如下面参考图5所示和所描述的。
电路仿真器308是使用数学模型来复制集成电路的行为的软件模块。电路仿真器308可以是严格的模拟电子电路仿真器,或者可以包括模拟和事件驱动的数字仿真能力(例如,混合模式仿真器)。整个混合信号分析可以从一个集成原理图驱动。电路仿真器308中的数字模型提供集成电路中部件和节点的传播时间和上升/下降时间延迟的规范。原理图设计模块304通过电路模板的实例将集成电路中的电路段的LDE信息相关联。例如,电路段的详细寄生效应(基于实际设计布局)可以经由用于电路段的电路模板并入到电路原理图中。电路模拟器308允许在其中放置电路模板的一个或多个实例的目标设计(例如放大器或比较器)的预布局仿真中重用这样的LDE信息。
物理实现模块312是根据平面几何形状创建集成电路的部分的表示的软件模块,其对应于组成集成电路的部件的金属、氧化物或半导体层的图案。物理实现模块312基于原理图生成集成电路的布局,并从布局提取用于电路段的LDE信息,如下面参照图4所述。物理实现模块312响应于利用用于电路段的LDE信息执行仿真而生成集成电路的至少一部分的布局,其中该部分对应于该电路段。
模板生成模块316是接收用于电路段的原理图信息和用于包括电路段的集成电路的布局的LDE信息的软件模块。模板生成模块316生成电路段的设计抽象,包括用于电路段的降低的连接性信息的表示。模板生成模块316从包括电路段的集成电路的布局的LDE信息中提取用于电路段的LDE信息,以生成电路模板,如下面参照图4所示和描述的。电路模板存储在电路模板数据库360中。模板生成模块316将电路模板中的LDE信息364和连接信息发送到电路模板数据库360。原理图设计模块304从电路模板数据库360接收电路模板362。
DRC模块320是确定特定芯片的物理布局是否满足一系列设计规则的软件模块。本领域众所周知的设计规则是指半导体制造商推荐的一般参数,以确保半导体制造商可以制造合适的集成电路。当违反设计规则时,DRC模块320提示违反设计人员的纠正措施或自动修改物理布局以符合设计规则。
LVS模块324是确定由物理实现模块312创建的特定集成电路布局是否对应于由原理图设计模块304创建的设计的原理图的软件模块。LVS模块324检查绘制到在布局期间使用基于区域的逻辑运算来表示电路,以通过它们的结构层来确定图中所示的半导体部件。LVS模块324将所提取的组件组合成串联和并行组合,并生成布局数据库的网表表示。LVS模块324在原理图上执行类似的减少。LVS模块324将提取的布局网表与电路原理图进行比较。
示例电路段
图4是根据实施例的包括电路段404的示例集成电路400的电路图。集成电路400表示电流镜像负载差分放大器示例。标记为“Vdd”的节点424和428向集成电路400提供电压。集成电路400包括由加载差分对电路段404的晶体管416和420制成的电流镜。集成电路400中的电流镜通过控制另一个有源器件中的电流、保持输出电流恒定(不管负载如何)来复制一个电流通过一个有源器件。差分对电路段404由电流镜加载,并且对两个输入信号436和440之间的差施加增益。差分对404由晶体管408和412构成。节点436和440是到电流镜像负载差分放大器400以及到差分对电路段404的两个输入。集成电路400的输出节点444产生在输入节点436和440处接收的差分信号的放大版本。
随着工艺几何形状的减小,纳米尺度器件的接近使它们相互作用并产生称为LDE的新型变异性。例如,接近效应可以将晶体管电流降低10%或更多,或者将阈值电压(Vt)改变几十mV。在部件布局和电路所需的布线互连之后,从集成电路中提取LDE信息。LVS和布局寄生提取(LPE)工具可以提取和测量LDE,将这些值作为实例参数放置在文件中,例如,作为详细的标准寄生格式(DSPF)文件。
该效应被认为是实例参数,例如,出现在DSPF文件实例部分的线上的新参数。LDE信息提取的目的是创建集成电路的精确模拟模型,以便对原理图和详细仿真的LDE信息进行背面注释可以模拟实际的数字和模拟电路响应。
在典型的电路设计流程中,在提取寄生效应和其他LDE信息之前,电路设计从原理图设计进行到完成的LVS和DRC清洁布局。然后将LDE信息反向注释到预布局原理图,并执行重新仿真以确定LDE对设计性能的影响。这里,在实施例中,通过重用将LDE(基于实际设计布局)与电路段(例如差分对404或电流镜)相关联的电路模板来改善电路设计效率,之后允许在其中放置电路模板的一个或多个实例的电路设计的预布局仿真(例如下面参考图5或比较器示出的放大器500)中重用LDE信息。
LDE可以包括例如(i)电路寄生效应,(ii)多晶硅间隔效应(PSE)和多晶硅长度效应,(iii)浅沟槽隔离(STI)效应和(iv)阱邻近效应(WPE)。首先,电路寄生效应包括互连电阻、互连电容和互连电感。图4中的示例性电阻448表示已经从集成电路400的布局提取并反向注释到图4所示的原理图上的输入节点436上的寄生电阻。图4中的示例性电容452表示已经从集成电路400的布局提取并反向注释到图4所示的原理图上的晶体管412的寄生漏-源电容。图4中的示例电容456表示已经从集成电路400的布局提取并反向注释到图4所示的原理图上的晶体管420的寄生栅-漏电容。
PSE和PLE是指多晶硅“虚拟”栅极对电路中的器件的均匀性(例如,图4的实施例中的器件408和412)的长度和间隔效应。PSE和PLE可能影响晶体管中的漏极电流并影响集成电路400的性能。
STI(也称为盒隔离技术)是集成电路设计特征,其防止相邻半导体器件组件之间的电流泄漏。STI效应是由沟槽隔离的硅应力引起的。STI设计过程留下了处于双轴压应力非均匀状态的硅岛。STI可以增加电子迁移率,从而增加负沟道金属氧化物半导体(NMOS)电流。STI可以增加空穴迁移率,从而增加正沟道金属氧化物半导体(PMOS)电流。STI也可能影响设备的Vt。
WPE是指器件(晶体管)与阱的边缘的接近度。该距离对设备的Vt有影响。原因是植入离子从用于限定阱的抗蚀剂侧壁散射,从而将Vt增加若干甚至几十毫伏。可以从集成电路400的布局提取的其他LDE信息包括扩散效应的长度、近似扩散效应(NDE)(影响器件均匀性和引起器件参数变化)、光刻舍入效应(litho rounding effect)(产生对poly和扩散角的舍入效应)等的信息。
模板生成模块316从来自集成电路400的布局的集成电路400的提取的LDE信息(例如,在DSPF文件中)提取电路段404的LDE信息。例如,模板生成模块316可以搜索到包含与集成电路400相关联的LDE的DSPF文件的路径。在备选实施例中,还可以搜索用于更高级别设计块(即其中集成电路400本身被实例化,例如实例化图4的放大器的压控振荡器电路)的DSPF文件的路径。
模板生成模块316对集成电路400的提取的布局依赖效应信息进行过滤,以识别对应于电路段404中的部件(例如,晶体管412)和节点的布局依赖效应信息(例如,电容452)。模板生成模块316通过解析用于集成电路400的提取的布局依赖效应信息来构建解析树,来对集成电路400提取的布局依赖效应信息进行过滤。解析树表示DSPF文件数据的基础结构,存储在数据结构中。模板生成模块316遍历解析树并去除不对应于电路段404中的部件和节点的LDE信息(例如,电容456)。生成电路模板包括标准化电路段的部件和节点的名称以包括在电路模板中,如下所述。
模板生成模块316可以读取用于集成电路400的DSPF文件,并将其过滤到仅与电路段404的部件和节点相关联的信息。原始DSPF文件可以包含用于所有集成电路400的网络的LDE信息。在一个实施例中,也可以过滤用于电力网络的LDE信息。模板生成模块316识别并去除未电连接到所选择的电路段节点的节点(直接或间接经由寄生电阻网络)。剩余的子节点和元件(例如,电阻448和电容452)通过下面描述的名称标准化方法将它们的名称标准化并适当地“唯一化”。
模板生成模块316在解析树遍历过程中初始化两个列表,一个用于节点信息,一个用于部件信息。然后解析DSPF文件的每一行,如果该行是节点行(也匹配任何可选的指定部件规范),则新的节点数据结构将被初始化并添加到节点列表中。这个新结构也被标记为当前节点结构。如果该行不是节点行,而是在DSPF文件的部件部分内,则将其解析为部件行,并解析附加到部件的列表中的信息(当提供可选指定的部件规范时,仅附加符合本规范的部件)。如果它不是部件行,则将其解析为节点元件项,例如子节点、部件引脚或寄生R或C元件,并将其解析树附加到当前标记的节点结构(再次,可选指定的部件规范首先用作过滤器)。每个节点的节点元件细节(子节点、实例引脚和寄生效应)都与数据结构中的节点本身相关联,并且已经应用任何可选的组件规范过滤。
模板生成模块316遍历在上述解析过程期间创建的节点结构。当遇到每个节点时,将检查它是否感兴趣。如果节点与模板的任何组件的直接互连相关联,则该节点是“感兴趣的”。如果节点不与这样的直接互连(例如,下面的图4中的节点424或428)相关联,则不感兴趣。如果节点感兴趣,节点将被归一化(将其名称替换为节点映射中的对应值)并写入模板,并且该过程继续到与该节点相关联的节点元素。检查每个节点元素以查看其字段是否感兴趣,如果是,则在写入模板之前再次将这些“模板(stencilization)”应用于这些节点字段。此外,在写入模板之前,电阻和电容元件通过将字符串附加到其实例名称而被唯一化。当所有节点耗尽时,遍历这些部件。考虑每个感兴趣的部件,并且其实例名称在写入模板之前用来自实例名称映射的相应值进行标准化。此外,其节点字段也与网络名称映射进行比较,并模板化为其他任何节点项。
模板生成模块316可以从集成电路400的布局提取层映射信息,并将层映射信息写入电路模板。半导体层映射信息是指用于制造特定工艺(例如22nm或12nm工艺)的材料层的参数。这些层可以包括体材料,例如Si、Ge、GaAs、用于产生p型和n型区的掺杂剂、以及用于形成接触的金属化物。层映射信息提供物理信息,例如在用于实现集成电路400设计的过程中的层片电阻率与在用于实现稍后的目标设计的过程中的相应层片电阻率的映射。这允许LDE模板从一个过程“移植”到另一个过程。
电路模板实例化为集成电路
图5是根据一个实施例的电路图,其示出了用于上述图4的电路段404的电路模板到示例集成电路500中的实例。图5示出了示例性多级运算放大器的一部分。集成电路500使用Vdd电源504、508和512。集成电路500中的节点528、572和556接地。由晶体管516和520形成的电流镜向集成电路500提供偏置电流。集成电路500实例化由晶体管560和564形成的电流镜,其主动地加载电路段404。去往集成电路500的输入是节点436和440。集成电路500的第二级由晶体管552组成,其是主动加载有电流源晶体管524的公共源极放大器。电容器568包括在第二级的负反馈路径中。集成电路500的输出是节点548。
电路段404在集成电路500的原理图中通过对图4中所示的电路段404的模板进行实例化来创建。电路段404包括晶体管408和412。如图5所示,在集成电路500的原理图中,参照图4的电路段404的模板中的LDE信息(电阻448和电容452)。
将来自图4的电路段404的模板实例化为图5中的集成电路500的原理图包括为图5中的集成电路500的原理图生成映射。映射将图5中的集成电路500的原理图中的节点和部件的实际名称与如下电路模板中的标准化名称进行关联。由于电路段404的模板在集成电路500的原理图中被实例化,所以为其生成部件和节点映射,并且在图示数据库350中注释为属性354,如上参考图3所示。映射是从集成电路500的原理图中的实际放置的名称到作为电路模板数据库360中的模板定义的一部分存储的标准化名称(例如,408和412),如上参考图3所示。对于便利性,反向部件和节点名称映射也可以被生成并注释到数据库360中放置的模版容器对象上。
在备选实施例中,代替将上述图4的电路段404的模板实例化为集成电路500的原理图,通过至少参考对应于电路段404的电路模板中的电路段404的LED信息而对集成电路500的原理图执行仿真。
原理图设计模块304使用电路段404的电路模板中的原始LDE信息来产生一个或多个实际有效的DSPF文件,其中集成电路500设计节点和部件名称被替换为标准化名称,从而正确地建立每个单独放置的模板实例的寄生连接。对于寄生元件R和C)项(例如,电阻448和电容452),也可以替换唯一值,当确定存在多个渲染模板时,确保独特的寄生效应。模板实例化过程的主要输入包括电路模板和节点以及部件名称映射。输入节点名称映射包括从标准化模板名称到实际目标网络名称的映射。类似地,输入组件名称映射包括从标准化部件名称到实际目标部件名称的映射。也可以为每个模版实例生成一个唯一的标识符,以便“唯一化”寄生部件名称。所得到的DSPF文件也可以以标准DSPF文件头为前缀,以便将其内容标识给电路仿真器308。
在一个实施例中,为集成电路500的原理图中的电路段404实例化电路模板包括使用电路模版中的层映射信息对电路模板中的电路段404的布局依赖效应信息以及包括用于第二集成电路的层特定因子的制造信息进行重新调整。制造信息可以包括用于金属层、场氧化物、n-阱、栅极氧化物、多晶硅、氮化物等的参数。例如,寄生电阻值(例如,电阻448)可以通过计算来自层映射信息的片电阻比率、并且将原始电阻值乘以适当的层特定比率因子来进行调整。原理图设计模块304产生完全呈现的DSPF文件作为包括模板的原理图设计过程的输出。
在一个实施例中,为每个放置的模板实例生成单个DSPF文件。在备选实施例中,为包含所有模版实例的单元生成单个DSPF文件。在这种情况下,所有渲染的DSPF内容可以一起连接成一个容器。在另一个实施例中,DSPF文件是在每个模板的基础上产生的,其中表示该特定模板的所有实例。例如,生成一个DSPF文件,其包含所有差分对模板实例的LDE信息,还包含对于所有当前的镜像实例的另一LDE信息,还包含对于所有级联阶段实例的第三个LDE信息。也可以生成其他分区/组合(例如,在一个DSPF文件中具有NMOS部件的所有模板,在另一DSPF文件中具有PMOS组件的所有模板等),而不脱离本领域的精神。
最后,渲染的DSPF文件使得可用于原理图设计模块304,允许设计者选择哪些背面注释(或不背面注释)到预布局原理图上。
在一个实施例中,电路段404可以对应于一个或多个电路模板。每个这样的电路模板对应于电路段404的不同的物理实现。例如,不同的物理实现可以对应于不同的平面几何形状,其对应于构成电路段404的组件的金属、氧化物或半导体层的不同图案。最终集成电路的行为取决于几何形状的位置和互连。不同的物理实现可以被设计为在性能、大小、密度和可制造性方面满足不同的标准。电路仿真器308可以使用对应于电路段404的每个电路模板的不同电路模板中的LDE信息来对集成电路500的不同原理图执行仿真。原理图设计模块304可以基于仿真来选择电路模板之一,用于更新集成电路500的原理图。
在备选实施例中,电路段404的布局可以使用原理图驱动布局(SDL)流来编写。模板生成模块316接收电路段404的布局。在这种情况下,原理图设计模块304中的示意性电路段404形状的选择还虑及在物理实现模块312中相关的布局源设计形状的对应选择,这是由于在SDL期间由物理实现模块312维护的对应映射。生成这些布局形状被分别放置的新布局模板视图。由于从电路段404原理图和布局视图可以获得完整的SDL对应关系,因此从原理图到新创建的布局模板视图快速构建相应的完整对应关系。新布局可以通过现有的LVS、DRC和LDE提取流程进行定稿并通过,如上面参考图1所示。然后,所得到的提取的DSPF文件可以作为输入传递到模板生成过程。
在一个实施例中,可以在对集成电路500的原理图进行仿真之后修改集成电路500的原理图。例如,当重用电路模板时,可以修改集成电路500的原理图,段404揭示了仿真期间集成电路500中的设计缺陷。
所要求保护的实施例的优点和优点包括通过使用电路模板提供“辅助”自动化、层级和分级设计的优点而不会施加处理分级接口的用户负担,从而提高电路设计流程的效率,并减少设计迭代和周转时间。
重复使用布局依赖效应的示例过程
图6是示出根据实施例的使用电路模板的提取的布局依赖效应的重用的过程的流程图。在一些示例性实施例中,该过程可以具有与结合图6所描述的不同的和/或附加的步骤。该过程的步骤也可以以与结合图6描述的顺序不同的顺序执行。可以并行执行一些步骤。备选地,可以并行执行一些步骤,并且顺次地执行一些步骤。或者,一些步骤可以以流水线方式执行,使得在执行前一步骤之前开始步骤的执行。
原理图设计模块304接收包括来自电路设计数据库350的电路段(例如,电路段404)的集成电路(例如,集成电路500)的原理图。原理图设计模块304接收604对应于来自电路模板数据库360的电路段404的电路模板。电路模板包括从集成电路(例如,集成电路400)的布局提取的用于电路段404的LDE信息。
原理图设计模块304通过在原理图中实例化电路模板来更新608集成电路500的原理图。电路模拟器308使用用于电路段404的LDE信息对更新的原理图执行仿真,而不产生集成电路500的更新的布局。响应于执行仿真,物理实现模块312使用更新的原理图生成集成电路500的至少一部分的布局。该部分对应于电路段404。
为了说明的目的已经呈现了对实施例的前述描述;它不是穷举的或将本发明限制于所公开的精确形式。本领域的技术人员可以理解,鉴于上述公开内容,许多修改和变化是可能的。
最后,本说明书中使用的语言主要是为了可读性和教导目的而选择的,并且它可能没有被选择来描绘或限定本发明的主题。因此,意图的范围不受该详细描述的限制,而是由在此之上的申请发布的任何权利要求。因此,实施例的公开内容旨在说明但不限于所附权利要求中阐述的范围。

Claims (18)

1.一种计算机实现的用于制造集成电路的方法,包括:
接收包括电路段的所述集成电路的原理图;
通过物理实现模块从所述集成电路的第一布局提取所述集成电路的布局依赖效应信息;
对所提取的所述集成电路的布局依赖效应信息进行过滤,以识别与所述电路段中的部件和节点相对应的布局依赖效应信息;
通过实例化对应于所述电路段的电路模板,更新所述集成电路的所述原理图,所述电路模板表示所述电路段的抽象版本,所述抽象版本包含所述电路段的减少的连接性信息,所述电路段的所述布局依赖效应信息包括所述电路段的所述部件和所述节点的一个或多个寄生信息以及所述电路段的所述部件和节点的标准化名称;
使用所述电路段的所述布局依赖效应信息对所述集成电路的更新后的所述原理图执行仿真,以揭示所述集成电路中的设计缺陷;以及
响应于执行所述仿真,将更新后的所述原理图提供至所述物理实现模块,以制造不含所揭示的设计缺陷的所述集成电路,所述物理实现模块用于产生对应于所述电路模板的所述集成电路的至少一部分的第二布局。
2.根据权利要求1所述的计算机实现的用于制造集成电路的方法,其中所述电路段的所述布局依赖效应信息还包括以下中的一个或多个:
所述电路段的部件的多晶硅间距和多晶硅长度效应;
所述电路段的部件的浅沟槽隔离效应信息;以及
所述电路段的部件的阱邻近效应。
3.根据权利要求1所述的计算机实现的用于制造集成电路的方法,还包括:
从所述第二布局中提取层映射信息;以及
将所述层映射信息写入所述电路模板。
4.一种计算机实现的用于制造集成电路的方法,包括:
接收所述集成电路的原理图,所述集成电路包括电路段;
通过物理实现模块从所述集成电路的第一布局提取所述集成电路的布局依赖效应信息;
对所提取的所述集成电路的布局依赖效应信息进行过滤,以识别与所述电路段中的部件和节点相对应的布局依赖效应信息;
通过使用所述集成电路的所述原理图中对应于所述电路段的电路模板替换所述电路段,更新所述集成电路的所述原理图,所述电路模板表示所述电路段的抽象版本,所述抽象版本包含所述电路段的减少的连接性信息,所述电路段的所述布局依赖效应信息包括所述电路段的所述部件和所述节点的一个或多个寄生信息以及所述电路段的所述部件和节点的标准化名称;
使用所述电路段的布局依赖效应信息对所述集成电路的更新后的所述原理图执行仿真,以揭示所述集成电路中的设计缺陷;以及
将更新后的所述原理图传输至所述物理实现模块,以制造不含所揭示的设计缺陷的所述集成电路,所述物理实现模块用于产生对应于所述电路模板的所述集成电路的至少一部分的第二布局。
5.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中所述电路段的所述布局依赖效应信息还包括以下中的一个或多个:
所述电路段的部件的多晶硅间距和多晶硅长度效应;
所述电路段的部件的浅沟槽隔离效应信息;以及
所述电路段的部件的阱邻近效应。
6.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中对所述集成电路的所提取的布局依赖效应信息的过滤包括:
解析所述集成电路的所提取的布局依赖效应信息,以构建解析树;
遍历所述解析树并去除不对应于所述电路段的所述部件和所述节点的布局依赖效应信息;以及
将剩余的布局依赖效应信息写入所述电路模板。
7.根据权利要求4所述的计算机实现的用于制造集成电路的方法,还包括:
从所述第二布局中提取层映射信息;以及
将所述层映射信息写入所述电路模板。
8.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中更新所述集成电路的所述原理图包括生成用于所述集成电路的原理图的映射,所述映射包括将所述集成电路的原理图中的部件和节点的实际名称与所述电路模板中的所述标准化名称相关联。
9.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中更新所述集成电路的所述原理图包括使用所述电路模板中的层映射信息和包括所述集成电路的层特定因子的制造信息来对所述电路段的所述布局依赖效应信息进行重新调整。
10.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中所述电路段对应于一个或多个电路模板,每个电路模板均对应于所述电路段的不同物理实现。
11.根据权利要求10所述的计算机实现的用于制造集成电路的方法,还包括:
对于与所述电路段相对应的每个电路模板,使用每个电路模板中的布局依赖效应信息对所述集成电路的原理图执行仿真;以及
基于通过使用所述集成电路的所述原理图中的所选择的电路模板替换所述电路段来更新所述集成电路的所述原理图的仿真来选择所述一个或多个电路模板中的一个。
12.根据权利要求4所述的计算机实现的用于制造集成电路的方法,其中在不产生所述集成电路的所述第一布局的情况下对所述集成电路的原理图执行所述仿真。
13.根据权利要求4所述的计算机实现的用于制造集成电路的方法,还包括:
产生所述集成电路的所述第一布局;以及
从所述集成电路的所产生的第一布局中提取所述电路段的布局依赖效应信息。
14.一种计算机实现的用于制造集成电路的方法,包括:
接收所述集成电路的原理图,所述集成电路包括电路段;
通过物理实现模块从所述集成电路的第一布局提取所述集成电路的布局依赖效应信息;
对所提取的所述集成电路的布局依赖效应信息进行过滤,以识别与所述电路段中的部件和节点相对应的布局依赖效应信息;
通过至少参考对应于所述电路段的电路模板中的电路段的所述布局依赖效应信息,对包含所述电路段的所述集成电路的所述原理图执行仿真,以揭示所述集成电路中的设计缺陷,所述电路模板表示所述电路段的抽象版本,所述抽象版本包含所述电路段的减少的连接性信息,所述电路段的所述布局依赖效应信息包括所述电路段的所述部件和所述节点的一个或多个寄生信息以及所述电路段的所述部件和节点的标准化名称;以及
将所述集成电路的所述原理图传输至所述物理实现模块,以制造不含所揭示的设计缺陷的所述集成电路,所述物理实现模块用于产生对应于所述电路段的所述集成电路的至少一部分的第二布局,其中所述集成电路的所述至少一部分的所述第二布局基于所述电路模板内的布局信息。
15.根据权利要求14所述的计算机实现的用于制造集成电路的方法,其中对所述集成电路的所提取的布局依赖效应信息的过滤包括:
解析所述集成电路的所提取的布局依赖效应信息,以构建解析树;
遍历所述解析树并去除不对应于所述电路段的所述部件和所述节点的布局依赖效应信息;以及
将剩余的布局依赖效应信息写入所述电路模板。
16.一种其上存储指令的非暂时性计算机可读存储介质,所述指令在由处理器执行时使所述处理器:
接收集成电路的原理图,所述集成电路包括电路段;
通过物理实现模块从所述集成电路的第一布局提取所述集成电路的布局依赖效应信息;
对所提取的所述集成电路的布局依赖效应信息进行过滤,以识别与所述电路段中的部件和节点相对应的布局依赖效应信息;
通过使用所述集成电路的所述原理图中对应于所述电路段的电路模板替换所述电路段,更新所述集成电路的所述原理图,所述电路模板表示所述电路段的抽象版本,所述抽象版本包含所述电路段的减少的连接性信息,所述电路段的所述布局依赖效应信息包括所述电路段的所述部件和所述节点的一个或多个寄生信息以及所述电路段的所述部件和节点的标准化名称;
使用所述电路模板中的所述电路段的所述布局依赖效应信息对所述集成电路的更新后的所述原理图执行仿真,以揭示所述集成电路中的设计缺陷;以及
将更新后的所述原理图传输至所述物理实现模块,用于产生对应于所述电路段的所述集成电路的至少一部分的第二布局,以制造不含所揭示的设计缺陷的所述集成电路。
17.根据权利要求16所述的非暂时性计算机可读存储介质,其中所述电路段的所述布局依赖效应信息还包括以下中的一个或多个:
所述电路段的部件的多晶硅间距和多晶硅长度效应;
所述电路段的部件的浅沟槽隔离效应信息;以及
所述电路段的部件的阱邻近效应。
18.根据权利要求16所述的非暂时性计算机可读存储介质,其中对所述集成电路的所提取的布局依赖效应信息的过滤包括:
解析所述集成电路的所提取的布局依赖效应信息,以构建解析树;
遍历所述解析树并去除不对应于所述电路段的所述部件和所述节点的布局依赖效应信息;以及
将剩余的布局依赖效应信息写入所述电路模板。
CN201780001284.8A 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用 Active CN107533576B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111154817.0A CN114091396A (zh) 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662299968P 2016-02-25 2016-02-25
US62/299,968 2016-02-25
US201662300594P 2016-02-26 2016-02-26
US62/300,594 2016-02-26
PCT/US2017/019401 WO2017147453A1 (en) 2016-02-25 2017-02-24 Reuse of extracted layout-dependent effects for circuit design using circuit stencils

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202111154817.0A Division CN114091396A (zh) 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用

Publications (2)

Publication Number Publication Date
CN107533576A CN107533576A (zh) 2018-01-02
CN107533576B true CN107533576B (zh) 2021-09-24

Family

ID=59679649

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202111154817.0A Pending CN114091396A (zh) 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN201780001284.8A Active CN107533576B (zh) 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN201780001297.5A Active CN107533577B (zh) 2016-02-25 2017-02-24 使用电路模板的生成和实例化的集成电路设计

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202111154817.0A Pending CN114091396A (zh) 2016-02-25 2017-02-24 针对使用电路模板的电路设计的提取的布局依赖效应的重用

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201780001297.5A Active CN107533577B (zh) 2016-02-25 2017-02-24 使用电路模板的生成和实例化的集成电路设计

Country Status (5)

Country Link
US (4) US10102324B2 (zh)
EP (2) EP3259693B1 (zh)
KR (4) KR101832116B1 (zh)
CN (3) CN114091396A (zh)
WO (2) WO2017147502A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114091396A (zh) 2016-02-25 2022-02-25 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用
US10354037B1 (en) * 2016-06-30 2019-07-16 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing an electronic design by manipulating a hierarchical structure of the electronic design
CN106227946B (zh) * 2016-07-26 2019-03-12 上海望友信息科技有限公司 一种pcb网板制作方法及系统
US10192020B1 (en) 2016-09-30 2019-01-29 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing dynamic maneuvers within virtual hierarchies of an electronic design
US10282505B1 (en) 2016-09-30 2019-05-07 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing legal routing tracks across virtual hierarchies and legal placement patterns
US10210299B1 (en) 2016-09-30 2019-02-19 Cadence Design Systems, Inc. Methods, systems, and computer program product for dynamically abstracting virtual hierarchies for an electronic design
US10409948B1 (en) * 2017-09-29 2019-09-10 Cadence Design Systems, Inc. Topology preserving schematic transformations for RF net editing
US10467370B1 (en) 2017-09-30 2019-11-05 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a net as a transmission line model in a schematic driven extracted view for an electronic design
US10678978B1 (en) 2017-09-30 2020-06-09 Cadence Design Systems, Inc. Methods, systems, and computer program product for binding and back annotating an electronic design with a schematic driven extracted view
US10558780B1 (en) * 2017-09-30 2020-02-11 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing schematic driven extracted views for an electronic design
US10783296B1 (en) 2018-06-08 2020-09-22 Diakopto, Inc. Matched net and device analysis based on parasitics
US10762259B1 (en) * 2018-06-08 2020-09-01 Diakopto, Inc. Circuit design/layout assistance based on sensitivities to parasitics
KR102312415B1 (ko) 2018-09-13 2021-10-12 주식회사 엘지에너지솔루션 배터리 모듈, 이러한 배터리 모듈을 포함하는 배터리 팩 및 이러한 배터리 팩을 포함하는 자동차
CN108984992B (zh) * 2018-09-25 2022-03-04 郑州云海信息技术有限公司 一种电路板设计方法和装置
US11232248B2 (en) * 2018-09-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram and system for same
US11314914B2 (en) * 2018-11-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and non-transitory computer readable medium of operating an electronic design automation platform for an optimal intgrated circuit design
US11144688B1 (en) 2018-12-17 2021-10-12 Diakopto, Inc. Virtual repeater insertion
US10796042B1 (en) * 2019-04-22 2020-10-06 Cadence Design Systems, Inc. Partial selection-based model extraction from circuit design layout
CN110941932B (zh) * 2019-11-29 2021-07-20 大连理工大学 一种面向硬件逻辑设计的需求建模与验证方法
US10997333B1 (en) 2019-12-05 2021-05-04 Cadence Design Systems, Inc. Methods, systems, and computer program product for characterizing an electronic design with a schematic driven extracted view
CN113011125B (zh) * 2019-12-18 2023-01-10 海信视像科技股份有限公司 印制电路板核查方法、装置、设备及计算机存储介质
US11550985B2 (en) * 2020-04-09 2023-01-10 Tokyo Electron Limited Method for automated standard cell design
US11714945B2 (en) 2020-04-09 2023-08-01 Tokyo Electron Limited Method for automated standard cell design
DE102021107950A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum fertigen von halbleiterbauelementen mit unterschiedlichen architekturen und damit gefertigte halbleiterbauelemente
EP4217819A1 (en) * 2020-09-23 2023-08-02 Silvaco, Inc. Physical verification workflow for semiconductor circuit designs
US11347913B1 (en) * 2021-03-19 2022-05-31 Cadence Design Systems, Inc. Method of reconstruction of post-layout design for graphical display
CN112989733B (zh) * 2021-05-07 2021-11-30 北京芯愿景软件技术股份有限公司 电路分析方法、装置、设备及存储介质
US11847392B1 (en) * 2021-11-30 2023-12-19 Cadence Design Systems, Inc. Method, product, and system for dynamic design switching for high performance mixed signal simulation
CN114611452A (zh) * 2022-03-22 2022-06-10 成都华大九天科技有限公司 基于电路原理图在版图中自动生成Sub Cell的方法
CN115658692A (zh) * 2022-10-28 2023-01-31 深圳市电巢科技有限公司 适用于原理图网表的校对分析方法、分析装置及电子设备
CN117436379B (zh) * 2023-12-21 2024-04-09 成都行芯科技有限公司 一种通孔压缩方法、装置、电子设备及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8103983B2 (en) * 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
CN103377304A (zh) * 2012-04-30 2013-10-30 台湾积体电路制造股份有限公司 用于减小布局依赖效应的系统和方法
US8732640B1 (en) * 2011-10-26 2014-05-20 Cadence Design Systems, Inc. Methods, systems, and articles for multi-scenario physically-aware design methodology for layout-dependent effects

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5095441A (en) * 1986-09-12 1992-03-10 Digital Equipment Corporation Rule inference and localization during synthesis of logic circuit designs
US6662149B1 (en) 1999-05-27 2003-12-09 International Business Machines Corporation Method and apparatus for efficient computation of moments in interconnect circuits
AU2001253068A1 (en) 2000-03-31 2001-10-15 Cadence Design Systems, Inc. Method and apparatus to optimize an integrated circuit design using transistor folding
KR100459731B1 (ko) * 2002-12-04 2004-12-03 삼성전자주식회사 반도체 집적회로의 시뮬레이션을 위한 인터커넥션 영향을포함한 선택적 연결정보를 생성하는 장치 및 그 방법
US7206731B2 (en) * 2003-06-02 2007-04-17 Agilent Technologies, Inc. Electromagnetic/circuit co-simulation and co-optimization with parametric layout components
US7184040B1 (en) 2003-11-21 2007-02-27 Nvidia Corporation Early stencil test rejection
US7620743B2 (en) * 2004-04-01 2009-11-17 Lsi Corporation System and method for implementing multiple instantiated configurable peripherals in a circuit design
US7496884B2 (en) 2005-09-02 2009-02-24 Synopsys, Inc. Distributed hierarchical partitioning framework for verifying a simulated wafer image
US20070268731A1 (en) * 2006-05-22 2007-11-22 Pdf Solutions, Inc. Layout compiler
US7579606B2 (en) * 2006-12-01 2009-08-25 D2S, Inc. Method and system for logic design for cell projection particle beam lithography
US7877376B2 (en) 2007-07-18 2011-01-25 Oracle International Corporation Supporting aggregate expressions in query rewrite
US8443329B2 (en) 2008-05-16 2013-05-14 Solido Design Automation Inc. Trustworthy structural synthesis and expert knowledge extraction with application to analog circuit design
US7900174B2 (en) 2008-05-19 2011-03-01 Interra Systems Inc Method and system for characterizing an integrated circuit design
US8037433B2 (en) 2008-08-22 2011-10-11 International Business Machines Corporation System and methodology for determining layout-dependent effects in ULSI simulation
US8112729B2 (en) 2009-04-20 2012-02-07 International Business Machines Corporation Method and system for selective stress enablement in simulation modeling
CN102024066B (zh) * 2009-09-09 2013-02-06 中国科学院微电子研究所 从模拟电路网表自动生成模拟电路原理图的方法
US8533650B2 (en) 2009-09-17 2013-09-10 Cadence Design Systems, Inc. Annotation management for hierarchical designs of integrated circuits
US20140115596A1 (en) * 2010-08-25 2014-04-24 Et International, Inc. Codeletset representation, manipulatoin, and execution - method, system and apparatus
US8789008B2 (en) * 2010-09-13 2014-07-22 Synopsys Taiwan Co., LTD. Methods for generating device layouts by combining an automated device layout generator with a script
US20120066542A1 (en) 2010-09-13 2012-03-15 National Tsing Hua University Method for Node Addition and Removal of a Circuit
US8316335B2 (en) * 2010-12-09 2012-11-20 International Business Machines Corporation Multistage, hybrid synthesis processing facilitating integrated circuit layout
US8745571B2 (en) * 2011-02-14 2014-06-03 International Business Machines Corporation Analysis of compensated layout shapes
US8769456B1 (en) * 2011-10-26 2014-07-01 Cadence Design Systems, Inc. Methods, systems, and articles for implementing extraction and electrical analysis-driven module creation
US8739124B2 (en) 2012-06-27 2014-05-27 Sap Ag Configuring integration capabilities for system integration
US8745556B2 (en) * 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US9092589B2 (en) 2013-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with device array layout generation
US9262578B2 (en) 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
CN105224709B (zh) * 2014-08-29 2018-09-18 杭州广立微电子有限公司 快速产生多样化环形振荡器的方法
US20160125115A1 (en) 2014-11-05 2016-05-05 International Business Machines Corporation Generating an electromagnetic parameterized cell for an integrated circuit design
CN105653744A (zh) 2014-11-13 2016-06-08 中芯国际集成电路制造(上海)有限公司 版图布局的设计方法及装置
US9779193B1 (en) 2015-03-31 2017-10-03 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing electronic design layouts with symbolic representations
US10127338B2 (en) * 2015-12-15 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information
CN114091396A (zh) 2016-02-25 2022-02-25 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8103983B2 (en) * 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
US8732640B1 (en) * 2011-10-26 2014-05-20 Cadence Design Systems, Inc. Methods, systems, and articles for multi-scenario physically-aware design methodology for layout-dependent effects
CN103377304A (zh) * 2012-04-30 2013-10-30 台湾积体电路制造股份有限公司 用于减小布局依赖效应的系统和方法

Also Published As

Publication number Publication date
CN107533577A (zh) 2018-01-02
KR20170122840A (ko) 2017-11-06
US20170249400A1 (en) 2017-08-31
EP3259693A1 (en) 2017-12-27
KR20180109837A (ko) 2018-10-08
CN107533576A (zh) 2018-01-02
KR20180109836A (ko) 2018-10-08
KR20170123708A (ko) 2017-11-08
CN114091396A (zh) 2022-02-25
WO2017147502A1 (en) 2017-08-31
WO2017147453A1 (en) 2017-08-31
US10380297B2 (en) 2019-08-13
US20180068036A1 (en) 2018-03-08
EP3259693A4 (en) 2018-07-11
US20180089340A1 (en) 2018-03-29
US10102324B2 (en) 2018-10-16
EP3259692A1 (en) 2017-12-27
KR101832116B1 (ko) 2018-02-23
KR101832117B1 (ko) 2018-02-23
EP3259693B1 (en) 2019-05-29
KR102139425B1 (ko) 2020-07-29
US10521535B2 (en) 2019-12-31
EP3259692A4 (en) 2018-07-04
CN107533577B (zh) 2019-11-08
US10078715B2 (en) 2018-09-18
US20170249416A1 (en) 2017-08-31

Similar Documents

Publication Publication Date Title
CN107533576B (zh) 针对使用电路模板的电路设计的提取的布局依赖效应的重用
TWI434191B (zh) 產生階層式電路設計的平面規劃之方法與設備及其非暫態電腦可讀式儲存媒體
US7992123B2 (en) Method of engineering change to semiconductor circuit executable in computer system
TWI789911B (zh) 用於電容值提取的系統、方法及儲存媒體
US8966429B2 (en) Bit slice elements utilizing through device routing
US11966678B2 (en) Modelling timing behavior using augmented sensitivity data for physical parameters
Eissa et al. Parametric dfm solution for analog circuits: electrical-driven hotspot detection, analysis, and correction flow
US10997333B1 (en) Methods, systems, and computer program product for characterizing an electronic design with a schematic driven extracted view
US11972191B2 (en) System and method for providing enhanced net pruning
US11893332B2 (en) Global mistracking analysis in integrated circuit design
Weber et al. Circuit Design: Anticipate, Analyze, Exploit Variations
US10831962B1 (en) Resistor network generation from point-to-point resistance values
Chen et al. A Structure-Based Methodology for Analog Layout Generation
Johansson A Technology Agnostic Approach for Standard-cell Layout Design Automation
US8438526B2 (en) Method for minimizing transistor and analog component variation in CMOS processes through design rule restrictions
Shepherd et al. CAD: How can we make the tasks possible?
CN116187253A (zh) 使用图案匹配的布局与原理图比对(lvs)器件提取
CN116629179A (zh) 用于并行设计和工艺优化的方法及系统
TW202018870A (zh) 統計模型的開發方法及開發系統
Nakura Essential Knowledge for Transistor-Level LSI Circuit Design
Zhang Systematic modeling and fast simulation of mixed-signal integrated systems in deep submicron technologies
Cong et al. A Novel Approach to Design a Process Design Kit Digital for CMOS 180nm Technology

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant