CN103377304A - 用于减小布局依赖效应的系统和方法 - Google Patents

用于减小布局依赖效应的系统和方法 Download PDF

Info

Publication number
CN103377304A
CN103377304A CN2012102516491A CN201210251649A CN103377304A CN 103377304 A CN103377304 A CN 103377304A CN 2012102516491 A CN2012102516491 A CN 2012102516491A CN 201210251649 A CN201210251649 A CN 201210251649A CN 103377304 A CN103377304 A CN 103377304A
Authority
CN
China
Prior art keywords
layout
semiconductor circuit
effect
simulation
calculating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102516491A
Other languages
English (en)
Other versions
CN103377304B (zh
Inventor
李惠宇
郭丰维
杨清舜
郑仪侃
管瑞丰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103377304A publication Critical patent/CN103377304A/zh
Application granted granted Critical
Publication of CN103377304B publication Critical patent/CN103377304B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种方法包括:从半导体电路的第一布局提取第一网表,并且基于第一网表估计布局依赖效应数据。使用电子设计自动化工具,基于第一网表实施半导体电路的第一仿真,并且使用电子设计自动化工具,基于电路原理图实施半导体电路的第二仿真。计算至少一个布局依赖效应的权重和灵敏度,并且基于权重和灵敏度调节半导体电路的第一布局,以提供半导体电路的第二布局。将第二布局存储在非暂时存储介质中。本发明还提供了用于减小布局依赖效应的系统和方法。

Description

用于减小布局依赖效应的系统和方法
技术领域
所公开的系统和方法涉及集成电路设计流程和半导体器件制造。更具体地,所公开的系统和方法涉及集成电路设计流程和通过减小的布局依赖效应(Layout dependent effect,LDE)制造的半导体器件。
背景技术
半导体工艺技术继续改进,使得可以制造较小器件。半导体工艺技术的一个实例是互补金属氧化物半导体(“CMOS”)工艺。当使用CMOS处理工艺形成的器件继续按比例缩小时,归因于布局依赖效应(“LDE”)的张应力影响使用这些先进工艺技术形成的器件的性能。
例如,LDE影响器件的阈值电压(“Vth”)、器件的饱和电流(“Idsat”)、以及器件的DC工作电流(“Id”)。不管电路是射频(“RF”)电路、模拟或混合信号电路、还是数字电路,这种器件影响电路的整体性能。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种方法,包括:从半导体电路的第一布局中提取第一网表;基于所述第一网表估计布局依赖效应数据;使用电子设计自动化工具,基于所述第一网表实施所述半导体电路的第一仿真;使用所述电子设计自动化工具,基于电路原理图实施所述半导体电路的第二仿真;计算至少一个布局依赖效应的权重和灵敏度;基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局;以及将所述第二布局存储在非暂时存储介质中。
在该方法中,所述至少一个布局依赖效应包括阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一个。
在该方法中,估计布局依赖效应数据包括:将布局依赖效应分类为阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一种。
该方法进一步包括:基于所述第一仿真和所述第二仿真,计算所述至少一个布局依赖效应的影响。
在该方法中,计算所述至少一个布局依赖效应的影响包括:基于所述第二仿真计算所述半导体电路的器件的性能参数;当经受阱邻近效应时,计算所述半导体电路的器件的性能参数;当经受有源区间隔效应时,计算所述半导体电路的器件的性能参数;当经受多晶硅间隔效应时,计算所述半导体电路的器件的性能参数;以及当基于所述第二仿真经受针对所述器件的所述性能参数的阱邻近效应、有源区间隔效应以及多晶硅间隔效应时,标准化所述器件的所述性能参数。
在该方法中,计算所述至少一个布局依赖效应的影响包括:生成识别可归因于所述至少一个布局依赖效应的至少一个应力的等值线图;以及在显示器上向用户显示所述等值线图。
在该方法中,计算所述至少一个布局依赖效应的所述权重包括:推导用于第一布局依赖效应的函数,该函数基于由所述第一布局识别的第一组物理参数近似所述半导体电路的器件的性能参数;推导用于第二布局依赖效应的函数,该函数基于由所述第一布局识别的第二组物理参数近似所述半导体电路的器件的性能参数;以及计算用于所述第一布局依赖效应的函数相对于用于所述第一布局依赖效应的函数和用于所述第二布局依赖效应的函数的总和的比率。
根据本发明的另一方面,提供了一种系统,包括:包括处理器的电子设计自动化工具,所述处理器被配置成:根据半导体电路的第一布局提供第一网表;根据所述第一网表估计布局依赖效应数据;实施基于所述第一网表的所述半导体电路的第一仿真和基于所述电路原理图的所述半导体电路的第二仿真;计算至少一个布局依赖效应的权重和灵敏度;以及基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局。
在该系统中,所述至少一个布局依赖效应包括阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一个。
在该系统中,所述处理器被配置成当所述处理器提取布局依赖效应数据时,将布局依赖效应分类为阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一种。
在该系统中,所述处理器被配置成基于所述第一仿真和所述第二仿真计算所述至少一个布局依赖效应的影响,其中,影响计算包括:基于所述第二仿真计算所述半导体电路的器件的性能参数;当经受阱邻近效应时,计算所述半导体电路的器件的性能参数;当经受有源区间隔效应时,计算所述半导体电路的器件的性能参数;以及当所述处理器计算所述至少一个布局依赖效应的影响时,当经受多晶硅间隔效应时计算所述半导体电路的器件的性能参数。
在该系统中,所述处理器被配置成当所述器件基于所述第二仿真经受针对所述器件的所述性能参数的阱邻近效应、有源区间隔效应以及多晶硅间隔效应时,标准化所述器件的所述性能参数。
在该系统中,所述处理器被配置成:生成识别可归因于所述至少一个布局依赖效应的至少一个应力的等值线图;以及在所述系统的显示器上向用户显示所述等值线图。
在该系统中,当计算所述至少一个布局依赖效应的所述权重时,所述处理器被配置成:推导用于第一布局依赖效应的函数,该函数基于由所述第一布局识别的第一组物理参数近似所述半导体电路的器件的性能参数;以及推导用于第二布局依赖效应的函数,该函数基于由所述第一布局识别的第二组物理参数近似所述半导体电路的器件的性能参数;以及计算用于所述第一布局依赖效应的函数相对于用于所述第一布局依赖效应的函数和用于所述第二布局依赖效应的函数的总和的比率。
根据本发明的又一方面,提供了一种利用程序代码编码的非暂时存储介质,其中,当由处理器实施所述程序代码时,所述处理器实施一种方法,所述方法包括:从半导体电路的第一布局中提取第一网表;根据所述第一网表估计布局依赖效应数据;使用电子设计自动化工具,基于所述第一网表实施所述半导体电路的第一仿真;使用所述电子设计自动化工具,基于电路原理图实施所述半导体电路的第二仿真;计算至少一个布局依赖效应的权重和灵敏度;基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局;以及将所述第二布局存储在所述非暂时存储介质中。
在该非暂时存储介质中,提取所述布局依赖效应数据包括:将布局依赖效应分类为阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一种。
该非暂时存储介质进一步包括:计算所述至少一个布局依赖效应的影响。
在该非暂时存储介质中,计算所述至少一个布局依赖效应的影响包括:基于所述第二仿真计算所述半导体电路的器件的性能参数;当经受阱邻近效应时,计算所述半导体电路的器件的所性能参数;当经受有源区间隔效应时,计算所述半导体电路的器件的性能参数;当经受多晶硅间隔效应时,计算所述半导体电路的器件的性能参数;以及当基于所述第二仿真经受针对所述器件的所述性能参数的阱邻近效应、有源区间隔效应以及多晶硅间隔效应时,标准化所述器件的所述性能参数。
在该非暂时存储介质中,计算所述至少一个布局依赖效应的影响包括:生成识别可归因于所述至少一个布局依赖效应的至少一个应力的等值线图;以及在显示器上向用户显示所述等值线图。
在该非暂时存储介质中,计算所述至少一个布局依赖效应的所述权重包括:推导用于第一布局依赖效应的函数,该函数基于由所述第一布局识别的第一组物理参数近似所述半导体电路的器件的性能参数;以及推导用于第二布局依赖效应的函数,该函数基于由所述第一布局识别的第二组物理参数近似所述半导体电路的器件的性能参数;以及计算用于所述第一布局依赖效应的函数相对于用于所述第一布局依赖效应的函数和用于所述第二布局依赖效应的函数的总和的比率。
附图说明
图1示出被配置成实施图2中阐述的改进方法的系统的一个实例。
图2是用于设计和制造具有减小的布局依赖效应的改进方法的一个实例的流程图。
图3是根据图2所示的方法计算布局相关等值线的方法的一个实例的流程图。
图4A至图4D是识别归因于不同布局依赖效应的应力的等值线图的实例。
图5是基于图4A至图4D中所示的等值线图识别布局相关应力的三维等值线图的实例。
具体实施方式
结合附图读取示例性实施例的该描述,附图被视为整个编写的说明书的一部分。
所公开的系统和方法能够通过新反馈调节环减小先进工艺技术(例如,45nm及更大)中的LDE的效果。减小LDE改进多种电路类型的性能,包括但不限于射频(“RF”)电路、模拟/混合信号电路、以及数字电路。
图1示出被配置成实施以下描述的改进方法的系统100的一个实例。如图1所示,系统100包括电子设计自动化(“EDA”)工具110,诸如,由加拿大Mountain View的Synopsys公司出售的“IC COMPILER”TM,具有诸如也由Synopsys出售的“ZROUTE”TM的布线器120的布局布线工具。例如,可以使用其他EDA工具110,诸如“VIRTUOSO”用户设计平台或Cadence“ENCOUNTER”
Figure BDA00001909237900051
数字IC芯片设计平台以及“VIRTUOSO”芯片组件布线器120,所有这些工具都由加拿大圣何塞的Cadence Design Systems公司出售。
EDA工具110是通过从计算机可读存储介质130、140重新获得所存储的程序指令136并且在通用处理器114上执行指令形成的专用计算机。处理器114可以是任何中央处理单元(“CPU”)、微处理器、微控制器、或用于执行指令的计算设备或电路。非暂时机器可读存储介质130、140可以是闪存、随机存取存储器(“RAM”)、只读存储器(“ROM”)或其他存储介质。RAM的实例包括但不限于静态RAM(“SRAM”)和动态RAM(“DRAM”)。ROM包括但不限于可编程ROM(“PROM”)、电可编程ROM(“EPROM”)以及电可擦可编程ROM(“EEPROM”),以提出几种可能性。
例如,系统100可以包括显示器116和用户接口或输入设备112,诸如,鼠标、触摸屏、麦克风、跟踪球、键盘、或其他设备,通过这些用户接口或输入设备用户可以将设计和布局指令输入到系统100。一个或多个计算机可读存储介质130、140可以存储由用户输入的诸如电路设计和元件信息132的数据,计算机可读存储介质130、140可以包括元件库132a、设计规则134、一个或多个程序文件136、以及一个或多个图形数据系统(“GDS”)II文件142。
EDA工具110还可以包括允许软件和数据在EDA工具110和外部设备之间传送的通信接口118。通信接口118的实例包括但不限于调制解调器、以太网卡、无线网卡、个人计算机存储卡国际协会(“PCMCIA”)槽和卡等。经由通信接口218传送的软件和数据可以为能够由通信接口118接收的电、电磁、光学等的信号形式。这些信号可以经由通信路径(例如,信道)被提供给通信接口118,可以使用电线、电缆、光纤、电话线、蜂窝链路、射频(“RF”)链路和其他通信信道来实现该通信路径。
布线器120能够接收包括在电路布局中的多个元件的标识,包括选自在相互连接的多个元件内的元件库132a的多对单元的列表132。设计规则134可以用于多种工艺技术(例如,大于、小于或等于45nm的技术)。在一些实施例中,设计规则134配置布线器120以将连接线和通孔定位在制造网格上。其他实施例可以允许布线器在布局中包括网格外连接线和/或通孔。
图2是设计集成电路(IC)使得所制造的IC减少LDE问题的方法200的一个实例的流程图。如图2所示,在框202中,接收IC设计/原理图。在框204中,基于在框202处接收到的设计/原理图,通过布局布线工具生成IC布局。IC布局包括IC以平面形式的表示法。例如,布局包括具有位于半导体衬底中的掺杂区以及形成晶体管的导电栅极的位置的有源器件的标识/定位。布局还包括导电布线,该导电布线被设置在在掺杂的半导体衬底上方设置的导电层中。导电布线提供用于形成在掺杂的半导体衬底中的有源区的互连件。
在框206中,从在框204处确定的布局中提取网表。本领域普通技术人员应该理解,网表包括R、C和灵敏度的值,并且用于为用户专用频率的定时分析和频域分析做准备。
在框208中,通过原理图和网表估计LDE数据。在一些实施例中,例如,估计LDE数据包括识别相关器件信息,诸如,元件名称、宽度、电流、以及LDE实例参数(instance parameter)。本领域普通技术人员应该理解,系统100被配置成实施从原理图和从网表的LDE提取。由于原理图不提供布局,基于原理图估计的LDE参数提供用于电路的“最佳状况”情况。
当有源区、多晶硅区以及阱具有导致LDE的间隔距离时,布局提供较好的真实世界近似。这样的LDE通常被分类为阱邻近效应(“WPE”)、扩散长度(“LOD”)效应(有时被称为“浅沟槽隔离效应”)、有源间隔效应(“OSE”)以及多晶硅间隔效应(“PSE”)。在其他事件中,这些LDE影响器件的阈值电压(“Vth”)、器件的饱和电流(“Idsat”)以及器件的DC工作电流(“Id”)。
从阱的边缘到栅极的边缘之间的间隔推导WPE并且被标记为“SC”。晶体管模型被设计成接受SCA、SCB和SCC作为实例参数,实现该晶体管模型以校正WPE。由Colin McAndrew并且由牛津大学出版的论文“Proximity Effect Modeling”,其全部内容结合于此作为参考,详细描述推导用于SCA、SCB和SCC的值的方式。OSE参数包括但不限于SA5、SA6、SODX、SODX1、SODX2和SODY。PSE参数的实例包括但不限于SA、SPA、SPA1、SPA2、SPA3、SAP、SAPB、SPBA、SPBA1和SA4。这些LDE参数存储在非暂时机器可读存储介质130、140中。
在存储LDE参数的数据库中提供索引标记,其中,索引标记识别LDE源。如下所述,可以设置标记使得系统100在实施分析时考虑LDE源。除了创建索引标记以识别LDE源类型之外,提供一阶、二阶或更高阶多项式函数以估计特定LDE参数(例如,fun(wpe)、fun(ose)、和fun(pse)对整个器件和/或电路性能的影响。
在一些实施例中,描述WPE的函数被表示为fun(wpe)=Cal[SCA,SCB,SCC]。描述OSE的函数被表示为fun(ose)=Cal[SA5,SA6,SODX,SODX1,SODX2,SODY],并且PSE函数被表示为fun(pse)=Cal[SPA,SPA1,SPA2,SPA3,SAP,SAPB,SPBA,SPBA1,SA4]。使用预布局仿真并且记录SCA、SCB和SCC值来推导fun(wpe)函数。使用物理布局来估计WPE参数SCA、SCB和SCC,修改物理布局中的阱边界,并且估计另一组SCA、SCB和SCC值。多项式方程适于SCA、SCB和SCC分布的趋势。使x表示SCA,y表示SCB,以及z表示CC,fun(wpe)推导如下:
fun(wpe)x=A3x3+A2x2+A1x1+A0
fun(wpe)y=B3y3+B2y2+B1y1+B0
fun(wpe)z=C3z3+C2z2+C1z1+C0
fun ( wpe ) = Σ n = x y fun ( wpe ) _ n
其中,An=3,2,...0;Bn=3,2,...,0以及Cn=3,2,...,0是拟合系数。
使用它们的相应参数以类似方式推导函数fun(ose)和fun(pse)。使用函数和标记来创建可以用于识别特定种类的LDE(例如,WPE、OSE、PSE)对器件性能的影响的方程。以下方程1是可以用于评估LDE对电流的影响的一个这种方程的实例:
IPhy=Ifree×(1+Flagwpe·fun(wpe)+Flagpse·fun(pse)+Flagose·fun(ose))
Eq.(1)
其中,
IPhy是物理器件的总电流;以及
Ifree是不考虑LDE的仿真电流。
在框210中,实施与预布局或布局无关的原理图的仿真。如上所述,当原理图缺乏分辨率和关于由布局提供的LDE的参数的详情时,原理图的仿真提供电路的“最佳情况”性能。例如,原理图不识别掺杂区和在布局中可识别并且改变器件性能的阱、有源区和多晶硅区之间的间隔。从而,如果与相对优化布局无关的仿真指示存在定时性能问题,则可能基于布局的仿真也识别定时问题。预布局仿真结果可以使设计者较早地识别特定定时问题(在完成耗时布局工艺之前),并且在步骤204中实施完成布局布线工艺之前,修改原理图设计等级处的设计。由在框210处的仿真获得的数据存储在非暂时机器可读存储介质130、140中。
在框212中,实施基于所推导的网表的布局仿真。当系统100考虑阱之间的间隔、多晶硅区之间的间隔、以及由布局识别的其他物理参数时,布局的仿真提供电路的性能的更准确估计。由在框212处的仿真获取的数据存储在非暂时机器可读存储介质130、140中。
在判定框214中,分析来自框210和212处的仿真的仿真数据,以确定设计和布局是否可以接受地。在一些实施例中,通过确保定时和工作电压的检验确定的电路的可接受性能通过比较仿真数据与设计约束在所强制设计限度内实施。如果电路性能可接受,则方法200进行至框224,在该点处创建GDSII文件并且存储在非暂时机器可读存储介质130、140中。在框226中,基于GDSII文件制造一个或多个掩模,并且制造IC。
如果设计不可接受,则方法200移动至反馈调节环216。在框218中,实施LDE等值线计算。
图3示出在框218中实施LDE等值线计算的一个实例。如图3所示,对于每个特定LDE种类实施计算。例如,在框302中,使用方程1确定用于没有LDE效应的器件的特定参数,诸如,电流I。通过将用于LDE种类WPE、PSE、以及OSE的标记设置为零获得这样计算结果,从而导致方程1简化为IPhy=Ifree×1=IPhy=Ifree
在框304中,通过将用于WPE的标记设置为1并且将用于其他LDE种类的标记设置为0将方程1用于确定WPE对器件参数的影响。通过设置这些标记,方程1简化为IPhy=Ifree×(1+fun(wpe))。
在框306中,使用方程1确定PSE对器件参数的影响。PSE标记被设置为1,并且用于LDE的标记被设置为0,从而导致方程1简化为IPhy=Ifree×(1+fun(pse))。
在框308中,计算OSE对器件参数的影响。使用以上方程1,通过将WPE和PSE标记设置为0并且将OSE标记设置为1来计算OSE对器件参数的影响,从而导致方程1简化为IPhy=Ifree×(1+fun(ose))。
使用在框302处所获得的结果将在框304、306和308中实施的计算的结果标准化为没有LDE的器件性能。例如,使用以下方程标准化在框304处计算的器件特性(其识别来自WPE的影响):
I wpenorm = ( I free - I Phy ) wpe I free - - - Eq . ( 2 )
使用以下方式标准化在框306处计算的器件特性:
I psenorm = ( I free - I Phy ) pse I free - - - Eq . ( 3 )
使用以下等式被标准化在框308处计算的器件特性:
I ose = ( I free - I Phy ) ose I free - - - Eq . ( 4 )
在框312中,设计准则可以可选地被输入到系统100中。设计者可以输入他/她希望发生的性能改变的值作为在反馈调节环216期间要对布局进行的改变的结果。由设计者输入的值基于设计者的经验并且通过将改变集中到性能改进或下降的有限范围内来帮助系统100识别改变。例如,如果用户想要看到对比当前布局区域小20%的区域的LDE影响,则用户可以输入准则为0.8,并且从0.8到1区分不同等级或颜色。系统100实施分析,并且向用户显示结果,使得用户可以通过彩色等值线图看到直接对布局的影响的程度。如上所述,准则值可以设置为包括0和1并且在0和1之间的任何值。系统100的设计者或用户使用输入设备112将设计准则输入到系统100中。
在框314中,系统100将设计准则应用于每个LDE种类,使得系统100可以计算每个LDE种类的期望影响。所计算的值存储在非暂时机器可读存储介质130、140中。
在框316中,系统100输入(import)用于器件和电路的GDSII文件142和其他相关记录。本领域普通技术人员应该理解,GDSII 142文件和其他相关记录可以存储在由处理器114访问的非暂时机器可读存储介质130、140中。
在框318中,系统100合并GDSII文件142和与调节影响数据的设计者准则相关的记录,并且生成一个或多个等值线图,在显示器116上向用户显示一个或多个等值线图。图4A至图4D示出通过系统100的处理器114生成的等值线图的实例。例如,图4A示出不考虑LDE的电路的器件的物理布局。
图4B是通过可归因于WPE的图4A的布局经历的性能下降的等值线图。图4C是示出可归因于PSE的性能变化的等值线图,并且图4D是示出可归因于OSE的性能变化的等值线图。本领域普通技术人员应该理解,等值线图的不同颜色识别由于LDE导致的器件和电路性能的偏差。
随着等值线计算完成,反馈调节环移动至框220,其中,对LDE种类进行加权,并且计算灵敏度。如下计算用于WPE的权重WPEweight:
WPE weight = fun ( wpe ) 1 + fun ( wpe ) + fun ( pse ) + fun ( ose ) - - - Eq . ( 5 )
使用以下方程计算用于PSE的权重PSEweight
PSE weight = fun ( pse ) 1 + fun ( wpe ) + fun ( pse ) + fun ( ose ) - - - Eq . ( 6 )
如下计算OSE权量OSEweight
OSE weight = fun ( pse ) 1 + fun ( wpe ) + fun ( pse ) + fun ( ose ) - - - Eq . ( 7 )
再次参考图2,优选地,计算LDE权重识别对器件和电路的性能具有较大影响的特定LDE种类。在框220处还计算灵敏度,其指示布局的改变影响性能的方式。例如,如果LDE灵敏度是正数,则远离器件移动应力源将改进性能。如果LDE灵敏度是负数,则应该朝向器件移动应力源,以改进器件性能。在一些实施例中,以下方程用于计算用于WPE、PSE、和OSE的灵敏度,即,WPEsensitivity、PSEsensitivity和OSEsensitivity
WPE sensitivity = 1 I free · Δ I Phy Δfun ( wpe ) - - - Eq . ( 8 )
PSE sensitivity = 1 I free · Δ I Phy Δfun ( pse ) - - - Eq . ( 9 )
OSE sensitivity = 1 I free · Δ I Phy Δfun ( ose ) - - - Eq . ( 10 )
通过所计算的LDE权重和灵敏度,反馈调节环216移动至框222,其中,处理布局中的一个或多个关键点。在框222处的功能向设计者提供基于等值线计算、LDE权重、以及LDE灵敏度系统地和准确地识别器件和电路布局中的关键区域的能力。
权重和灵敏度使用户和/或系统100修改对应于电路中的一个或多个器件的布局的模型参数,以提供具有减小的LDE的改进性能。例如,图5示出通过图4A至图4D所示的独立等值线图推导的三维(“3D”)等值线图的一个实例。图5示出的3D等值线图识别“热点”或区域,其中,LDE应力具有降低器件和电路性能的关键影响。
设计者和/或系统100使用布局来调节最多可归因于应力的器件和/或电路的特定参数,诸如,阱边界到栅极边缘的间隔。在框204中,对器件和电路模型进行改变,并且存储在非暂时机器可读存储介质130、140中。
然后,工艺200移动至框206,其中,从更新/修改的布局提取网表。如上所述,网表包括R、C和灵敏度的值,并且用于为用于用户专用频率的定时分析和频域分析做准备。在调节反馈调节环216之后生成的网表是在框222中基于对布局的改变的修改网表。
在框208中,从修改网表提取LDE数据。在一些实施例中,例如,LDE数据的提取包括识别相关器件信息,诸如,元件名称、宽度、电流、以及LDE实例参数。本领域普通技术人员应该理解,系统100被配置成实施从原理图和从网表的LDE提取。如果在调节反馈环期间对原理图作出改变,则在框208中从原理图提取LDE数据。
在框212中,系统100基于在框206中提取的网表和在框208中提取的LDE数据实施仿真。如上所述,布局的仿真考虑阱之间的间隔、多晶硅区之间的间隔、以及由布局和网表识别的其他物理参数。由框212处的仿真获得的数据存储在非暂时机器可读存储介质130、140中。
在判定框214中,分析来自框212处的仿真(以及如果可用,则来自框210处的第二仿真)的后布局仿真数据,以确定设计和布局是否可接受。在一些实施例中,通过确保定时和工作电压的检验确定的电路的可接受性能通过比较仿真数据与设计约束在所强制设计限度内实施。如果电路性能可接受,则方法200进行至框224,在该点处创建GDSII文件并且存储在非暂时机器可读存储介质130、140中。在框226中基于GDSII文件创建一个或多个掩模,并且制造IC。
如果设计不可接受,则方法200再次移动至反馈调节环216。方法200进行至反馈调节环216的次数不受限制。从而,方法200可以重复地进行至反馈调节环216,在框204处确定/调节布局、在框206处的网表提取、在框208处的LDE提取,在框212处实施仿真,以及在框214处估计布局是否可接受,直到电路可接受。
优选地,所公开的系统和方法提供在具有减小的来自LDE的影响的半导体晶圆上设计和制造电路的有效方法。诸如RF电路、模拟/混合信号电路、以及数字电路的多种电路类型都受益于上述迭代法。
在一些实施例中,方法包括:从半导体电路的第一布局提取第一网表,并且基于第一网表来估计布局依赖效应数据。使用电子设计自动化工具,基于第一网表实施半导体电路的第一仿真,并且使用电子设计自动化工具,基于电路原理图实施半导体电路的第二仿真。计算至少一个布局依赖效应的权重和灵敏度,并且基于权重和灵敏度调节半导体电路的第一布局,以提供半导体电路的第二布局。第二布局存储在非暂时存储介质中。
在一些实施例中,系统包括具有电子设计自动化工具的处理器。处理器被配置成提供来自半导体电路的第一布局的第一网表,并且通过第一网表估计布局依赖效应数据。通过处理器实施基于第一网表的半导体电路的第一仿真,并且通过处理器实施基于电路原理图的半导体电路的第二仿真。处理器被配置成计算至少一个布局依赖效应的权重和灵敏度,并且被配置成基于权重和灵敏度调节半导体电路的第一布局,以提供半导体电路的第二布局。
在一些实施例中,非暂时存储介质存储有程序代码,其中,当通过处理器执行程序代码时,处理器实施方法。该方法包括:从半导体电路的第一布局提取第一网表,并且基于第一网表估计布局依赖效应数据。使用电子设计自动化工具,基于第一网表实施半导体电路的第一仿真,并且使用电子设计自动化工具,基于电路原理图实施半导体电路的第二仿真。计算至少一个布局依赖效应的权重和灵敏度,并且基于权重和灵敏度调节半导体电路的第一布局,以提供半导体电路的第二布局。第二布局存储在非暂时存储介质中。
可以以方法和用于实践这些方法的装置的形式实现本发明。还可以以在实体介质(诸如,USB闪存驱动、安全数字(“SD”)卡、CD-ROM、DVD-ROM、蓝光光盘、硬盘驱动、或任何其他非暂时机器可读存储介质)中实现的程序代码的形式来实现本发明,其中,当由诸如计算机的机器加载并且执行程序代码时,机器变为用于实践发明的装置。还可以以程序代码的形式实现,例如,是否存储在存储介质中,通过机器加载和/或执行,或者基于诸如电布线或电缆、通过光纤、或经由静电辐射的一些传输介质进行传输,其中,当由诸如计算机的机器加载和执行程序代码时,机器变为用于实践本发明的装置。当在通用处理器上实现程序代码段时,程序代码段与处理器结合,以提供对特定逻辑电路进行类似操作的唯一器件。
虽然根据示例性实施例描述了本发明,但是本发明不限于此。而是,所附权利要求应该被广泛地解释为包括可以由本领域普通技术人员作出的本发明的其他变型例和实施例,而不脱离本发明的等同物的范围。

Claims (10)

1.一种方法,包括:
从半导体电路的第一布局中提取第一网表;
基于所述第一网表估计布局依赖效应数据;
使用电子设计自动化工具,基于所述第一网表实施所述半导体电路的第一仿真;
使用所述电子设计自动化工具,基于电路原理图实施所述半导体电路的第二仿真;
计算至少一个布局依赖效应的权重和灵敏度;
基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局;以及
将所述第二布局存储在非暂时存储介质中。
2.根据权利要求1所述的方法,其中,所述至少一个布局依赖效应包括阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一个。
3.根据权利要求1所述的方法,其中,估计布局依赖效应数据包括:将布局依赖效应分类为阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一种。
4.根据权利要求3所述的方法,进一步包括:基于所述第一仿真和所述第二仿真,计算所述至少一个布局依赖效应的影响。
5.根据权利要求4所述的方法,其中,计算所述至少一个布局依赖效应的影响包括:
基于所述第二仿真计算所述半导体电路的器件的性能参数;
当经受阱邻近效应时,计算所述半导体电路的器件的性能参数;
当经受有源区间隔效应时,计算所述半导体电路的器件的性能参数;
当经受多晶硅间隔效应时,计算所述半导体电路的器件的性能参数;以及
当基于所述第二仿真经受针对所述器件的所述性能参数的阱邻近效应、有源区间隔效应以及多晶硅间隔效应时,标准化所述器件的所述性能参数。
6.根据权利要求4所述的方法,其中,计算所述至少一个布局依赖效应的影响包括:
生成识别可归因于所述至少一个布局依赖效应的至少一个应力的等值线图;以及
在显示器上向用户显示所述等值线图。
7.根据权利要求1所述的方法,其中,计算所述至少一个布局依赖效应的所述权重包括:
推导用于第一布局依赖效应的函数,该函数基于由所述第一布局识别的第一组物理参数近似所述半导体电路的器件的性能参数;
推导用于第二布局依赖效应的函数,该函数基于由所述第一布局识别的第二组物理参数近似所述半导体电路的器件的性能参数;以及
计算用于所述第一布局依赖效应的函数相对于用于所述第一布局依赖效应的函数和用于所述第二布局依赖效应的函数的总和的比率。
8.一种系统,包括:
包括处理器的电子设计自动化工具,所述处理器被配置成:
根据半导体电路的第一布局提供第一网表;
根据所述第一网表估计布局依赖效应数据;
实施基于所述第一网表的所述半导体电路的第一仿真和基于所述电路原理图的所述半导体电路的第二仿真;
计算至少一个布局依赖效应的权重和灵敏度;以及
基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局。
9.根据权利要求8所述的系统,其中,所述至少一个布局依赖效应包括阱邻近效应、有源区间隔效应以及多晶硅间隔效应中的一个。
10.一种利用程序代码编码的非暂时存储介质,其中,当由处理器实施所述程序代码时,所述处理器实施一种方法,所述方法包括:
从半导体电路的第一布局中提取第一网表;
根据所述第一网表估计布局依赖效应数据;
使用电子设计自动化工具,基于所述第一网表实施所述半导体电路的第一仿真;
使用所述电子设计自动化工具,基于电路原理图实施所述半导体电路的第二仿真;
计算至少一个布局依赖效应的权重和灵敏度;
基于所述权重和所述灵敏度调节所述半导体电路的所述第一布局,以提供所述半导体电路的第二布局;以及
将所述第二布局存储在所述非暂时存储介质中。
CN201210251649.1A 2012-04-30 2012-07-19 用于减小布局依赖效应的系统和方法 Active CN103377304B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/459,288 US8621409B2 (en) 2012-04-30 2012-04-30 System and method for reducing layout-dependent effects
US13/459,288 2012-04-30

Publications (2)

Publication Number Publication Date
CN103377304A true CN103377304A (zh) 2013-10-30
CN103377304B CN103377304B (zh) 2016-06-01

Family

ID=49462411

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210251649.1A Active CN103377304B (zh) 2012-04-30 2012-07-19 用于减小布局依赖效应的系统和方法

Country Status (2)

Country Link
US (1) US8621409B2 (zh)
CN (1) CN103377304B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105975646A (zh) * 2015-03-13 2016-09-28 三星电子株式会社 分析半导体装置的性能的系统、方法和计算机程序产品
CN107533576A (zh) * 2016-02-25 2018-01-02 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN113011122A (zh) * 2019-12-19 2021-06-22 台湾积体电路制造股份有限公司 用于减少迁移错误的方法和系统

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
JP5991184B2 (ja) * 2012-12-18 2016-09-14 富士通株式会社 設計支援方法、設計支援装置、および設計支援プログラム
US9092589B2 (en) * 2013-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with device array layout generation
US10372867B2 (en) * 2014-09-02 2019-08-06 Synopsys, Inc. In-design real-time electrical impact verification flow
CN105653744A (zh) * 2014-11-13 2016-06-08 中芯国际集成电路制造(上海)有限公司 版图布局的设计方法及装置
US10817637B2 (en) 2016-07-29 2020-10-27 Samsung Electronics Co., Ltd. System and method of designing integrated circuit by considering local layout effect
KR102563927B1 (ko) * 2016-07-29 2023-08-07 삼성전자 주식회사 로컬 레이아웃 효과를 고려하여 집적 회로를 설계하기 위한 시스템 및 방법
US10599130B2 (en) 2017-01-26 2020-03-24 Samsung Electronics Co., Ltd. Method and system for manufacturing an integrated circuit in consideration of a local layout effect
US10572615B2 (en) 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US11314914B2 (en) * 2018-11-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and non-transitory computer readable medium of operating an electronic design automation platform for an optimal intgrated circuit design

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050229142A1 (en) * 2004-04-09 2005-10-13 Zenasis Technologies, Inc. System and method for automated accurate pre-layout estimation of standard cell characteristics
CN101620644A (zh) * 2008-06-25 2010-01-06 台湾积体电路制造股份有限公司 光学微缩技术节点中的集成电路设计
CN101681878A (zh) * 2007-10-26 2010-03-24 新思科技有限公司 用于布图布线系统中设计优化的填充单元

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5572437A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US6493850B2 (en) * 2001-02-16 2002-12-10 Texas Instruments Incorporated Integrated circuit design error detector for electrostatic discharge and latch-up applications
JP3735560B2 (ja) * 2001-11-09 2006-01-18 株式会社東芝 半導体集積回路の評価方法
US7127695B2 (en) * 2002-07-18 2006-10-24 Incentia Design Systems Corp. Timing based scan chain implementation in an IC design
KR100459731B1 (ko) * 2002-12-04 2004-12-03 삼성전자주식회사 반도체 집적회로의 시뮬레이션을 위한 인터커넥션 영향을포함한 선택적 연결정보를 생성하는 장치 및 그 방법
US6996790B2 (en) * 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
US7139990B2 (en) * 2004-03-23 2006-11-21 International Business Machines Corporation Method of checking the layout versus the schematic of multi-fingered MOS transistor layouts using a sub-circuit based extraction
EP1894130A1 (en) * 2005-06-06 2008-03-05 Mentor Graphics Corporation Mixed-domain analog/rf simulation
US7337420B2 (en) 2005-07-29 2008-02-26 International Business Machines Corporation Methodology for layout-based modulation and optimization of nitride liner stress effect in compact models
US8418098B2 (en) * 2007-12-28 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Advisory system for verifying sensitive circuits in chip-design
US7765511B1 (en) * 2007-10-22 2010-07-27 Xilinx, Inc. Compensation for performance variation in integrated circuits
US8037433B2 (en) 2008-08-22 2011-10-11 International Business Machines Corporation System and methodology for determining layout-dependent effects in ULSI simulation
CA2713743A1 (en) * 2009-08-27 2011-02-27 Solido Design Automation Inc. Proximity-aware circuit design method
US8826209B2 (en) * 2011-06-30 2014-09-02 Synopsys, Inc. Automated inline defect characterization
US8407646B2 (en) * 2010-02-11 2013-03-26 Synopsys, Inc. Active net and parasitic net based approach for circuit simulation and characterization
US8762914B2 (en) * 2010-07-24 2014-06-24 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for constraint verification for implementing electronic circuit designs with electrical awareness
US20120198394A1 (en) * 2011-01-31 2012-08-02 Pikus Fedor G Method For Improving Circuit Design Robustness
US8726207B2 (en) * 2011-05-25 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. On-the-fly device characterization from layouts of circuits

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050229142A1 (en) * 2004-04-09 2005-10-13 Zenasis Technologies, Inc. System and method for automated accurate pre-layout estimation of standard cell characteristics
CN101681878A (zh) * 2007-10-26 2010-03-24 新思科技有限公司 用于布图布线系统中设计优化的填充单元
CN101620644A (zh) * 2008-06-25 2010-01-06 台湾积体电路制造股份有限公司 光学微缩技术节点中的集成电路设计

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105975646A (zh) * 2015-03-13 2016-09-28 三星电子株式会社 分析半导体装置的性能的系统、方法和计算机程序产品
CN107533576A (zh) * 2016-02-25 2018-01-02 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN107533576B (zh) * 2016-02-25 2021-09-24 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN114091396A (zh) * 2016-02-25 2022-02-25 美商新思科技有限公司 针对使用电路模板的电路设计的提取的布局依赖效应的重用
CN113011122A (zh) * 2019-12-19 2021-06-22 台湾积体电路制造股份有限公司 用于减少迁移错误的方法和系统

Also Published As

Publication number Publication date
US8621409B2 (en) 2013-12-31
US20130290916A1 (en) 2013-10-31
CN103377304B (zh) 2016-06-01

Similar Documents

Publication Publication Date Title
CN103377304B (zh) 用于减小布局依赖效应的系统和方法
US10102324B2 (en) Reuse of extracted layout-dependent effects for circuit design using circuit stencils
CN110546635B (zh) 使用与单元级布局相关的应力效应的单元放置和布线
US8181145B2 (en) Method and apparatus for generating a floorplan using a reduced netlist
US8887116B2 (en) Flexible pattern-oriented 3D profile for advanced process nodes
US8701055B1 (en) Macro cell based process design kit for advanced applications
US20110204470A1 (en) Method, system, and apparatus for adjusting local and global pattern density of an integrated circuit design
US9122833B2 (en) Method of designing fin field effect transistor (FinFET)-based circuit and system for implementing the same
US8281270B2 (en) Method and system for proximity-aware circuit design
JP2010080705A (ja) 回路シミュレーション装置、回路シミュレーション方法及びプログラム
US8627253B2 (en) Method for substrate noise analysis
US11194949B1 (en) Predictor-guided cell spreader to improve routability for designs at advanced process nodes
US20170161425A1 (en) Compact modeling analysis of circuit layout shape sections
US8650020B1 (en) Modeling second order effects for simulating transistor behavior
Watts et al. Netlisting and modeling well-proximity effects
Lin et al. Performance-aware corner model for design for manufacturing
US10706209B2 (en) Estimation of effective channel length for FinFETs and nano-wires
WO2011101698A1 (en) Integrated circuit design tool apparatus and method of designing an integrated circuit
US10534889B2 (en) Determining ECO aggressor nets during incremental extraction
US10509888B1 (en) System and method for forming integrated device
Pramanik et al. Process induced layout variability for sub 90nm technologies
Eissa Physical aware design methodology for analog & mixed signal integrated circuits
CN111143767A (zh) 统计模型的开发方法及开发系统
JP2011150607A (ja) 回路シミュレーション装置、回路シミュレーション方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant