TW202018870A - 統計模型的開發方法及開發系統 - Google Patents

統計模型的開發方法及開發系統 Download PDF

Info

Publication number
TW202018870A
TW202018870A TW107142939A TW107142939A TW202018870A TW 202018870 A TW202018870 A TW 202018870A TW 107142939 A TW107142939 A TW 107142939A TW 107142939 A TW107142939 A TW 107142939A TW 202018870 A TW202018870 A TW 202018870A
Authority
TW
Taiwan
Prior art keywords
value
limit
relationship
typical
values
Prior art date
Application number
TW107142939A
Other languages
English (en)
Inventor
黃瑞成
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202018870A publication Critical patent/TW202018870A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Probability & Statistics with Applications (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Evolutionary Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Operations Research (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本揭露提供一種統計模型的開發方法及一種統計模型的開發系統。該開發方法包括:接收一極限模型;接收電晶體的一選定尺寸;以及基於該極限模型生成該選定尺寸的一統計模型。

Description

統計模型的開發方法及開發系統
本申請案主張2018年11月5日申請之美國正式申請案第16/180,655號的優先權及益處,該美國正式申請案之內容以全文引用之方式併入本文中。
本揭露關於一種統計模型的開發方法及開發系統,特別是關於用於電路模擬的統計模型的開發方法及開發系統。
背景技術諸如電晶體模型的半導體器件模型對於使用半導體器件的電路設計實現可靠性能是至關重要的。此外,半導體器件模型可以顯著提高電路設計過程的效率。因此,期望提高這種半導體器件模型的精度。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露提供一種統計模型的開發方法。該開發方法包括:接收一極限模型;接收電晶體的一選定尺寸;以及基於該極限模型生成該選定尺寸的一統計模型。
在一些實施例中,該極限模型包括一典型關係。該典型關係是一電性參數的數值與該選定尺寸在一典型極限(typical corner)下的關係。該開發方法更包括:藉由將該選定尺寸應用於該典型關係來提供該電性參數的一典型數值;以及藉由將該典型數值應用於一常態分佈來提供複數個偽數值。該統計模型的該生成包括:基於該典型數值和該等偽數值生成該統計模型。
在一些實施例中,該極限模型更包括一第一關係以及一第二關係,該第一關係是該電性參數的數值與該選定尺寸在該第一極限下的關係,該第二關係是該電性參數的數值與該選定尺寸在該第二極限下的關係。該開發統計模型的方法更包括:藉由將該選定尺寸應用於該第一關係來提供該第一極限數值;以及藉由將該選定尺寸應用於該第二關係來提供該第二極限數值。該等偽數值的該提供包括:基於該典型數值、該第一極限數值和該第二極限數值生成該等偽數值。
在一些實施例中,該等偽數值在該第一極限數值和該第二極限數值之間。
在一些實施例中,該開發方法更包括:將該典型數值設為該常態分佈的一中心數值;將該第一極限數值設置為該常態分佈的一上限數值;以及將該第二極限數值設置為該常態分佈的一下限數值。該等偽數值的該生成包括:基於該上限數值、該下限數值和該中心數值生成該等偽數值。
在一些實施例中,該開發方法更包括:接收一預定數量的該等偽數值。該等偽數值的該生成包括:基於該上限數值、該下限數值、該中心數值和該預訂數量生成該等偽數值。
在一些實施例中,該典型極限包括一典型-到-典型極限(typical-to-typical corner),該第一極限包括一慢-到-慢的極限(slow-to-slow corner),並且該第二極限包括一快-到-快的極限(fast-to-fast corner)。
本揭露另提供一種用於電路模擬的統計模型的開發系統。該開發系統包括一個或複數個處理單元以及一個或複數個處理單元。該一個或複數個處理單元經配置以:接收一極限模型;接收電晶體的一選定尺寸;以及基於該極限模型生成該選定尺寸的一統計模型。
在一些實施例中,該極限模型包括一典型關係,該典型關係是一電性參數的一數值與該選定尺寸在一典型極限(typical corner)下的關係。該一個或複數個處理單元經配置以:藉由將該選定尺寸應用於該典型關係來提供該電性參數的一典型數值;藉由將該典型數值應用於一常態分佈來提供複數個偽數值;以及基於該典型數值和該等偽數值生成該統計模型。
在一些實施例中,該極限模型更包括一第一關係以及一第二關係,該第一關係是該電性參數的數值與該選定尺寸在該第一極限下的關係,該第二關係是該電性參數的數值與該選定尺寸在該第二極限下的關係。該一個或複數個處理單元更經配置以:藉由將該選定尺寸應用於該第一關係來提供該第一極限數值;藉由將該選定尺寸應用於該第二關係來提供該第二極限數值;以及基於該典型數值、該第一極限數值和該第二極限數值生成該等偽數值。
在一些實施例中,該等偽數值在該第一極限數值和該第二極限數值之間。
在一些實施例中,該一個或複數個處理單元更經配置以:將該典型數值設為該常態分佈的一中心數值;將該第一極限數值設置為該常態分佈的一上限數值;將該第二極限數值設置為該常態分佈的一下限數值;以及基於該上限數值、該下限數值和該中心數值生成該等偽數值。
在一些實施例中,該一個或複數個處理單元更經配置以:接收一預定數量的該等偽數值;以及基於該上限數值、該下限數值、該中心數值和該預訂數量生成該等偽數值。
在本揭露中,由於統計模型是基於由電路設計者在電路模擬中使用的極限模型而開發的,因此基於極限模型的統計模型是相對可靠的並且對於電路設計者是可接受的。而且,不再需要進行測量以獲得複數個製程參數。因此,它是較有時間效率的。
上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。
本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。
「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。
為了使得本揭露可被完全理解,以下說明提供詳細的步驟與結構。顯然,本揭露的實施不會限制該技藝中的技術人士已知的特定細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了實施方式之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於實施方式的內容,而是由申請專利範圍定義。
本發明所屬領域具有通常知識者將了解,本發明可體現為系統,方法或計算機程序產品。因此,本揭露可以採取全硬體實施例、全軟體實施例(包括韌體、常駐軟體、微代碼等)的形式或者組合軟體和硬體方面的實施例,這些實施例在本文中通常都可以被稱為「電路」、「模組」或「系統」。此外,本揭露可以採用體現在任何有形表達介質中的電腦程序產品的形式,該介質具有包含在介質中的電腦可用程序代碼。
可以使用一個或複數個電腦可用或電腦可讀介質的任何組合。注意,電腦可用或電腦可讀介質甚至可以是紙張或其他合適的介質,在其上打印程序,因為程序可以藉由例如紙張或其他介質的光學掃描以電子方式捕獲,然後如果需要,以合適的方式編譯、解譯或以其他方式處理,然後存儲在電腦記憶體中。在本揭露的上下文中,電腦可用或電腦可讀介質可以是能夠包含,儲存、傳遞、傳播或傳輸程序以供指令執行系統,裝置或設備使用或與其結合使用的任何介質。電腦可用介質可以包括傳播的數位信號,其具有在其中實現的電腦可用程序代碼,或者在基帶中或者作為載波的一部分。電腦可用程序代碼可以使用任何適當的介質傳輸,包括但不限於無線、有線、光纖電纜、RF等。
用於執行本揭露的操作的電腦程序代碼可以以一種或多種編程語言的任何組合來編寫,包括諸如Java,Smalltalk,C++等的面向對象的編程語言和傳統的過程編程語言,諸如作為「C」編程語言或類似的編程語言。程序代碼可以完全在用戶的電腦上執行,部分在用戶的電腦上執行,作為獨立的軟體包,部分在用戶的電腦上,部分在遠端電腦上或完全在遠端電腦或服務器上執行。在後一種情況下,遠端電腦可以藉由任何類型的網絡連接到用戶的計算機,包括局域網(local area network,LAN)或廣域網(wide area network,WAN),或者可以連接到外部電腦(用於例如,藉由互聯網使用互聯網服務提供商)。
下面參考根據本公開的實施例的方法,裝置(系統)和電腦程序產品的流程圖和/或框圖來描述本公開。應當理解,流程圖圖示和/或框圖的每個框以及流程圖圖示和/或框圖中的框的組合可以由電腦程序指令實現。這些電腦程序指令可以被提供給通用電腦、專用電腦或其他可編程數據處理裝置的處理器以產生機器,使得指令藉由電腦的處理器或其他可編程數據處理裝置執行創建用於實現流程圖和/或框圖塊中指定的功能/動作的裝置。這些電腦程序指令還可以儲存在電腦可讀介質中,該電腦可讀介質可以指示電腦或其他可編程數據處理裝置以特定方式起作用,使得儲存在電腦可讀介質中的指令產生包括指令的製品。實現流程圖和/或框圖塊中指定的功能/動作的裝置。
還可以將電腦程序指令加載到電腦或其他可編程數據處理裝置上,以使得在電腦或其他可編程裝置上執行一系列操作步驟,以產生電腦實現的過程,使得執行的指令電腦或其他可編程裝置提供用於實現流程圖和/或框圖塊中指定的功能/動作的過程。
圖1是根據本揭露的一些實施例的積體電路(integrated circuit,IC)的設計流程10的示意圖。用於設計半導體IC或晶片的設計流程10利用一個或複數個電子設計自動化(electronic design automation,EDA)工具在其中執行操作。工作站或個人電腦通常用於執行該等工具以完成設計流程10。設計流程10包括系統設計階段110、邏輯設計階段120、合成階段130、預佈局模擬階段140、佈局和佈線開發階段150、參數提取階段160、佈局後模擬階段170、光罩生成階段190和電路製造階段191。
最初,在系統設計階段110,為感興趣的晶片提供系統架構,其具有高階描述。在系統設計階段110期間,根據設計規範確定晶片功能以及性能要求。晶片功能通常由相應的示意功能模組或方塊表示。此外,可以尋求優化或性能折衷以在可接受的成本和功率水平上實現設計規範。
在邏輯設計階段120,使用硬體描述語言在寄存器傳送級別(register transfer level,RTL)中描述功能模塊或塊。通常使用商業上可用的語言工具,例如Verilog或VHDL。在一個實施例中,在邏輯設計階段120期間執行初步功能檢查以驗證所實現的功能是否符合系統設計階段110中闡述的規範。
隨後,在合成階段130,將RTL描述中的模組轉換為設計資料的實例,例如網表(netlist)資料,其中建立每個功能模組的電路結構,例如邏輯閘和暫存器。在一個實施例中,提供標準單元庫132以提供不同類別的低階電路,即標準單元,服務於特定的布林邏輯或順序邏輯功能。在一些實施例中,進行邏輯閘和暫存器到標準單元庫中的可用單元的技術映射。此外,提供設計資料或網表資料以描述晶片在門級的功能關係。標準單元庫132可以由IC設計者,IC製造公司,電腦輔助設計(computer-aided design,CAD)工具提供者或任何相關的第三方提供。標準單元庫132還提供與每個單元相關聯的參數,例如定時、功率、電壓等。在一個實施例中,網表數據從邏輯閘層次圖變換為電晶體層次圖。在一個實施例中,當提供或更新庫(如將在本文的後續段落中描述的)並且併入到CAD工具中時,IC設計者可以藉由識別違反設計規則(例如,時序違規)來改進更新的庫。修改原始網表數據以響應已識別的違規行為。
隨後,在佈局前模擬階段140驗證邏輯閘層次網表數據。在佈局前模擬階段140的驗證過程期間,如果某些功能在模擬中未藉由驗證,則設計流程10可以暫時暫停或者可以返回系統設計階段110或邏輯設計階段120以進行進一步修改。在預佈局模擬階段140之後,晶片設計已藉由初步驗證,並且前端設計過程完成。接下來,進行後端物理設計過程。
在放置和佈線階段150期間,實現表示在前端處理期間確定的晶片的物理架構。佈局開發依次涉及放置操作和佈線操作。在放置操作中確定晶片組件的詳細結構和相關幾何形狀。在放置操作之後路由不同組件之間的互連。執行放置和佈線操作以滿足設計規則檢查(design rule check,DRC)平台的要求,從而滿足晶片的製造約束。在一個實施例中,在數位電路的佈局和佈線階段執行時脈樹合成操作,其中時脈產生器和電路結合到設計中。在一個實施例中,在初步佈線操作之後執行佈線後操作,以便解決在初步佈線操作期間發現的定時問題。一旦佈局和佈線階段150完成,就創建佈局和佈線佈局,並相應地生成網表以及佈局和佈線的資料。
在參數提取階段160期間,基於在佈局佈線階段150中展開的佈局,進行佈局參數提取(layout parameter extraction,LPE)操作以導出與佈局相關的參數,例如寄生電阻和電容。生成後佈局網表數據,其包括依賴於佈局的參數。
在佈局後模擬階段170期間,考慮在先前階段中獲取的參數,執行實體驗證。進行電晶體級行為的模擬以檢查由佈局後網表導出的晶片性能是否滿足所需的系統規範。在一些實施例中,執行佈局後模擬以最小化晶片製造過程期間的電氣問題或佈局困難的可能性。在一個實施例中,標準單元庫132不僅提供給階段130中的操作,還提供給階段140、150、160和170中的操作,以便標準中列出的單元和其他特徵的電或幾何參數。可以利用單元庫132來模擬整個設計階段中電路的真實性能。
接下來,在階段180中,確定佈局後網表是否滿足設計規範。如果佈局後模擬的結果是不利的,則設計流程10循環回到前面的階段以調整功能或結構。例如,設計流程10可以循環回到階段150,其中重新開發佈局以從物理角度解決問題。或者,設計流程10可以退回到較早的階段110或120,以在功能級別重新設計晶片設計,以防在後端過程中無法解決問題。
如果佈局後網表藉由驗證,則接受電路設計,然後相應地簽字。該晶片是根據公認的佈局後網表製造的。在一個實施例中,在階段190期間,在階段170中基於經驗證的佈局後網表生成至少一個光罩。光罩是用於允許一部分光藉由同時阻擋光的其他部分的圖案化遮罩。為了在晶片上的光敏層(例如光阻層)上形成特徵圖案。光罩用於將經過驗證的佈局後網表的圖案轉移到晶圓上。在一些實施例中,多層佈局網表可能需要一組光罩,其中每層中的特徵圖案在相應的光罩中建立。結果,在光罩上形成的佈局網表的圖案藉由曝光操作被轉移到光敏層。
在階段191期間,使用階段190中產生的光罩在晶片上製造電路。製造可涉及已知的半導體製造操作,例如光學微影、蝕刻、沉積和熱操作。在一些實施例中,可以在階段191的中間階段或最終階段中利用測試操作以確保製造的電路的物理和功能完整性。在一些實施例中,可以使用分割操作將電路晶片分離成單獨的電路晶粒。由此完成電路的製造。
圖1中示出的設計流程10是示例性的。對上述階段的修改,例如階段的順序改變、階段的劃分以及階段的刪除或添加,都在本揭露的預期範圍內。
圖2是用於基於晶片製造中的製程參數的測量生成統計模型28的一比較性的計算設備26的示意圖。參考圖2,用於製造晶片的工廠包括複數個工作站24(例如,24-1、24-2、...、24-N,其中N是正整數)。每個工作站24負責不同的製造操作。例如,工作站24-1負責製造電晶體的閘極氧化物,工作站24-2負責電晶體的雜質摻雜。
在製造電晶體時,由於製程變異,電晶體的閘極氧化物的實際厚度不可避免地與閘極氧化物的理想(期望)厚度不同。當製造大量晶片22時,在工作站24-1處測量每個(或大部分)製造的晶片22的實際厚度,並將其提供給計算設備26。可以將閘極氧化物的厚度視作為一種製程參數。計算設備26收集製程參數的厚度。
由於與厚度的討論類似的原因,當製造大量晶片22時,在工作站24-2處測量每個製造的晶片22的雜質的實際濃度,並將其提供給計算設備26。雜質的濃度可以被認為是另一種類型的製程參數。計算設備26收集濃度的製程參數。
計算設備26藉由將蒙特卡羅方法應用於收集的製程參數來生成統計模型28。使用蒙特卡羅方法生成統計模型28的方法是公知的,因此這裡省略了詳細描述。
然而,基於製程參數(例如上述厚度或濃度)開發的這種統計模型28不可靠並且對電路設計者沒有幫助。由電路設計者使用諸如HSPICE的工具執行的電路模擬依賴於與電性參數相關聯的模型,而不是與製程參數相關聯的模型。另外,需要花費大量時間來進行測量以獲得製程參數。因此,這種程序不具有時間效率。
圖3的示意圖,圖式說明圖2的示例性實例中提到的製程參數的變化。參見圖3,為了更好地理解製程參數,例如,取電晶體的閘極氧化物的厚度,閘極氧化物的理想厚度表示為“Val.IDEAL”。由於製程變異,實際厚度偏離理想厚度Val.IDEAL,實際厚度的最大數值表示為“Val.Max”。對於所有製程參數,最大數值Val.Max是其所屬製程參數的最壞情況。
當工作站24-1提供製程參數的最大數值時,每個工作站24還提供其自己的製程參數的最大數值。當使用蒙特卡羅方法來開發統計模型時,這種蒙特卡羅方法考慮了每個製程參數是單個電晶體的最壞情況(即,最大數值)的情況。但是,在大多數情況下,這種情況不會發生在電晶體上。開發的統計模型過於悲觀。這種悲觀的統計模型可能導致難以為電路設計者設計電路。或者,蒙特卡羅方法的結果是不同的,因此電路設計者不能接受。
圖4是根據本揭露的一些實施例的用於開發統計模型46的一處理設備42的示意圖。參考圖4,處理設備42用於接收一極限模型44,並基於極限模型44開發統計模型46,這將詳細描述於圖5至圖8。在本揭露中,模型不限於極限模型。處理設備42能夠基於與電性參數相關聯的任何模型來開發統計模型46。統計模型46可以用在預佈局模擬階段140、佈局後模擬階段170、或圖1中所示的其他適當階段。
在一些實施例中,操作員選定一尺寸482。處理設備42用於開發選定尺寸482的統計模型46。在一些實施例中,尺寸482包括電晶體的長度。在一些實施例中,尺寸482包括電晶體的寬度。在一些實施例中,尺寸482包括電晶體的高深比。
在一些實施例中,操作員判斷用於開發統計模型46的一預定數量484的數值,預定數量484為操作員想要的數量。處理設備42用於基於預定數量484開發統計模型46。
在一些實施例中,操作員選擇感興趣的極限模型的電性參數480。處理設備42用於開發與電性參數480相關聯的統計模型46。
在本揭露中,由於統計模型46是基於由電路設計者在電路模擬中使用的極限模型44而開發的,因此基於極限模型44的統計模型46是相對可靠的並且對於電路設計者是可接受的。而且,不再需要進行測量以獲得複數個製程參數。因此,它是較有時間效率的。
圖5是根據本揭露的一些實施例的統計模型的開發方法50的流程圖。參考圖5,開發方法50包括操作500、502、504、506和508。
開發方法50開始於操作500,其中接收一極限模型。極限模型包括一典型極限(typical corner)、第一極限和第二極限。在一些實施例中,典型極限包括一典型-到-典型極限(typical-to-typical corner)(表示為TT),第一極限包括一慢-到-慢的極限(slow-to-slow corner)(表示為SS),第二極限包括一快-到-快的極限(fast-to-fast corner)(表示為FF)。為了更好地理解本揭露的概念,在以下討論中,TT極限,SS極限和FF極限用於解釋本揭露的操作。
開發方法50繼續操作502,其中接收一選定尺寸。在一些實施例中,該選定尺寸是電路設計者感興趣的尺寸。在一些實施例中,該選定尺寸的範圍從半導體製程的設計規則的下限尺寸到上限尺寸。為了便於理解,在下面的討論中,該選定尺寸是指選定長度。
開發方法50進行到操作504,其中藉由將該選定尺寸應用於一典型關係來提供電性參數的一典型數值,其中該典型關係是一電性參數的數值與該選定尺寸在一典型極限(typical corner)下的關係。為了便於理解,在下面的討論中,電性參數是指圖7的電晶體的臨界電壓Vth。
圖7是根據本揭露的一些實施例的一臨界電壓Vth與一電晶體的一長度在不同極限下的關係的示意圖。參考圖7,橫軸表示電晶體的長度,縱軸表示電晶體的臨界電壓Vth。通常,電性參數僅在最小長度Lmin處是關鍵的,並且必須以這樣的最小長度Lmin測量電性參數。例如,臨界電壓Vth僅在最小長度Lmin處是關鍵的,因此在這樣的最小長度Lmin處測量。因此,測量SS極限處的臨界電壓Vth的數值Vms、測量TT極限處的臨界電壓Vth的數值Vmt和測量FF極限處的臨界電壓Vth的數值Vmf。
如果期望獲得除了最小長度Lmin之外的長度的臨界電壓Vth的數值,例如長度Lx,則可以根據極限模型中記錄的等式獲得該數值。獲得特定長度的臨界電壓Vth的數值的方法是公知的。因此,這裡省略了詳細描述。
圖7的實施例僅用作說明如何獲得預定長度的臨界電壓Vth的數值的示例。基於類似的方法,可以獲得選定的高深比的臨界電壓Vth的數值。
參照操作504並參照圖7,根據上述假設,藉由將選定長度Lx應用於典型關係來提供臨界電壓Vth的典型數值Vct。
開發方法50進行到操作506並參照圖8,藉由將該典型數值應用於常態分佈來提供複數個偽數值。
圖8是根據本揭露的一些實施例的用於生成複數個偽數值的常態分佈的示意圖。參考圖8,橫軸表示臨界電壓Vth;垂直軸表示機率。
假設選定長度Lx處的典型數值Vct是0.37伏(V)。將0.37V的典型數值Vct設定為常態分佈的中心數值。根據常態分佈,常態分佈的中心部分的機率(包括典型數值Vct 0.37V)為0.14。如果用於生成統計模型46的預定數量的數值是1000,則在中心部分有140個數值。例如,140個數值的範圍從約0.365V到約0.375V。這140個數值是偽數值。偽數值不是從矽晶片的測量獲得的,而是根據常態分佈的數學方法獲得的。
開發方法50前進到操作508,其中基於典型數值和複數個偽數值生成該選定尺寸的統計模型。
調整常態分佈的形狀的方法是公知的。這裡省略了詳細描述。藉由調整常態分佈的參數(例如sigma)的數值,可以改變例如中心部分的機率。偽數值將相應地改變。因此,本揭露的用於開發統計模型46的方法是具有彈性的。
開發方法50僅僅是示例,並且不旨在將本公開限制為超出權利要求中明確記載的內容。可以在開發方法50之前,期間和之後提供附加操作,並且可以替換,消除或移動所描述的一些操作以用於該方法的其他實施例。
在本揭露中,係應用一常態分佈。然而,本揭露不限於常態分佈。根據電路設計者的偏好,任何分佈都可以應用於本揭露。
圖6是根據本揭露的一些實施例的圖5中所示的一操作506的流程圖。參考圖6,操作506包括操作600、602、604、606、608和610。
操作506開始於操作600,其中藉由將該選定尺寸應用於第一關係來生成一第一極限數值。該極限模型更包括一第一關係,該第一關係是該電性參數的數值與該選定尺寸在該第一極限下的關係。例如,參考圖7,藉由將選定長度Lx應用於與SS極限相關聯的第一關係來生成第一極限數值Vcs。
操作506進行到操作602,其中藉由將該選定尺寸應用於一第二關係來生成一第二極限數值。該第二關係是該電性參數的數值與該選定尺寸在該第二極限下的關係。例如,參考圖7,藉由將選定長度Lx應用於與FF極限相關聯的第二關係來生成第二極限數值Vcf。
操作506繼續操作604,其中該典型數值被設置為該常態分佈的一中心數值。例如,參考圖8,典型數值Vct的典型數值0.37V被設置為常態分佈的中心數值。
操作506進行到操作606,其中該第一極限數值被設置為該常態分佈的一上限數值。例如,參考圖8,將0.42V的第一極限數值Vcs設定為上限數值。
操作506繼續操作608,其中該第二極限數值被設置為該常態分佈的一下限數值。例如,參考圖8,將0.33V的第二極限數值Vcf設定為下限數值。
操作506進行到操作610,其中基於該中心數值、該上限數值,該下限數值和複數個偽數值的一預訂數量生成該等偽數值。
操作506僅是示例,並且不旨在將本公開限制為超出權利要求中明確記載的內容。可以在操作506之前,期間和之後提供附加操作,並且可以替換,消除或移動所描述的一些操作以用於該方法的其他實施例。
圖9是根據本揭露的一些實施例的圖4的一處理設備42的方塊示意圖。關於圖4至8描述之該等工具、系統、或操作中之一或多個,在一些實施例中,係藉由一電腦系統6實現在。處理設備42包含經由匯流排714或其他互連溝通機制溝通地偶合之處理器700、記憶體708、網絡接口(network interface,I/F)702、儲存器706、及輸入/輸出(input/output,I/O)裝置704。    在一些實施例中,記憶體708包含偶合至匯流排714以儲存要被處理器700執行之數據或指令(如,內核712、用戶空間710、內核或用戶空間的部分及其組件)的隨機存取記憶體(random access memory,RAM)、其它動態儲存裝置、唯讀記憶體(read-only memory,ROM)或其他靜態儲存裝置。在一些實施例中,記憶體708也經配置儲存在執行被處理器700所執行之指令期間的暫時變數或其他中間資訊。    在一些實施例中,儲存裝置706,諸如磁碟或光碟係耦合至匯流排714,以儲存數據或指令,如,內核712、用戶空間710、等。I/O裝置704包含用戶能夠與系統互動之輸入裝置、輸出裝置、或經組合之輸入/輸出裝置。輸入裝置包含,例如向處理器700溝通資訊及命令之鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、或光標方向鍵。輸出裝置包含,例如向用戶溝通資訊之顯示器、印表機、聲音合成器等。    在一些實施例中,關於圖4至8描述之該等工具及系統的一或多個操作或功能係藉由處理器700實現,處理器700係經程式化以用於執行此等操作及功能。憶體708、I/F 702、儲存器706、I/O裝置704、硬體組件718、及匯流排714中之一或多個係可操作地接收用於被處理器700處理的指令、數據、設計規則、網表、佈局、模型及其他參數。    在一些實施例中,關於圖4至8描述之該等工具及系統的一或多個操作或功能係藉由與處理器700分開或代替處理器700之專用硬體(如,藉由所包括之一個或多個專用積體電路(application specific integrated circuits,ASIC))來實施。一些實施例在單一ASIC中併入多於一個所述操作或功能。
在一些實施例中,該等操作及功能以儲存在非暫時性電腦可讀記錄介質中的程式的函數被實現。非暫時性電腦可讀記錄介質之實例包括但不限於外部/可攜或內部/內建儲存或記憶體單元,如下列中之一或多者,光盤諸如DVD、磁盤諸如硬盤、半導體記憶體諸如ROM、RAM、記憶卡等。
在本揭露中,由於統計模型46是基於由電路設計者在電路模擬中使用的極限模型44而開發的,因此基於極限模型44的統計模型46是相對可靠的並且對於電路設計者是可接受的。而且,不再需要進行測量以獲得複數個製程參數。因此,它是較有時間效率的。
本揭露一實施例提供統計模型的開發方法。該開發方法包括:接收一極限模型;接收電晶體的一選定尺寸;以及基於該極限模型生成該選定尺寸的一統計模型。
本揭露另提供一種用於電路模擬的統計模型的開發系統。該開發系統包括一個或複數個處理單元以及一個或複數個處理單元。該一個或複數個處理單元經配置以:接收一極限模型;接收電晶體的一選定尺寸;以及基於該極限模型生成該選定尺寸的一統計模型。
雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。
10:設計流程22:晶片24:工作站24-2:工作站24-1:工作站24-N:工作站26:計算設備28:統計模型42:處理設備44:極限模型46:統計模型50:開發方法110:系統設計階段120:邏輯設計階段130:合成階段132:標準單元庫140:預佈局模擬階段150:佈局和佈線開發階段160:參數提取階段170:佈局後模擬階段180:階段190:光罩生成階段191:電路製造階段480:電性參數482:尺寸484:預定數量502:操作504:操作506:操作508:操作600:操作602:操作604:操作606:操作608:操作610:操作700:處理器702:網絡接口704:輸入/輸出裝置706:儲存器708:記憶體710:用戶空間712:內核714:匯流排FF:快-到-快的極限Lmin:最小長度Lx:長度SS:慢-到-慢的極限TT:典型-到-典型極限Val.IDEAL:理想厚度Val.Max:最大數值Vcf:數值Vcs:數值Vct:數值Vmf:數值Vms:數值Vmt:數值Vth:臨界電壓
參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。    圖1是根據本揭露的一些實施例的積體電路(integrated circuit,IC)的設計流程的示意圖。    圖2是用於基於晶片製造中的製程參數的測量生成統計模型的一比較性的計算設備的示意圖。    圖3的示意圖,圖式說明圖2的示例性實例中提到的製程參數的變化。    圖4是根據本揭露的一些實施例的用於開發統計模型的一處理設備的示意圖。    圖5是根據本揭露的一些實施例的統計模型的開發方法的流程圖。    圖6是根據本揭露的一些實施例的圖5中所示的一操作的流程圖。    圖7是根據本揭露的一些實施例的一臨界電壓與一電晶體的一長度在不同極限下的關係的示意圖。    圖8是根據本揭露的一些實施例的用於生成複數個偽數值的常態分佈的示意圖。    圖9是根據本揭露的一些實施例的圖4的處理設備的方塊示意圖。
50:開發方法
502:操作
504:操作
506:操作
508:操作

Claims (13)

  1. 一種統計模型的開發方法,包括:    接收一極限模型;    接收電晶體的一選定尺寸;以及    基於該極限模型生成該選定尺寸的一統計模型。
  2. 如請求項1所述的開發方法,其中該極限模型包括一典型關係,該典型關係是一電性參數的數值與該選定尺寸在一典型極限(typical corner)下的關係,該開發方法更包括:    藉由將該選定尺寸應用於該典型關係來提供該電性參數的一典型數值;以及    藉由將該典型數值應用於一常態分佈來提供複數個偽數值,    其中該統計模型的該生成包括:    基於該典型數值和該等偽數值生成該統計模型。
  3. 如請求項2所述的開發方法,其中該極限模型更包括一第一關係以及一第二關係,該第一關係是該電性參數的數值與該選定尺寸在該第一極限下的關係,該第二關係是該電性參數的數值與該選定尺寸在該第二極限下的關係,該開發統計模型的方法更包括:    藉由將該選定尺寸應用於該第一關係來提供該第一極限數值;以及    藉由將該選定尺寸應用於該第二關係來提供該第二極限數值,    其中,該等偽數值的該提供包括:    基於該典型數值、該第一極限數值和該第二極限數值生成該等偽數值。
  4. 如請求項3所述的開發方法,其中該等偽數值在該第一極限數值和該第二極限數值之間。
  5. 如請求項3所述的開發方法,更包括:    將該典型數值設為該常態分佈的一中心數值;    將該第一極限數值設置為該常態分佈的一上限數值;以及    將該第二極限數值設置為該常態分佈的一下限數值,    其中,該等偽數值的該生成包括:    基於該上限數值、該下限數值和該中心數值生成該等偽數值。
  6. 如請求項5所述的開發方法,更包括:    接收一預定數量的該等偽數值,    其中,該等偽數值的該生成包括:    基於該上限數值、該下限數值、該中心數值和該預訂數量生成該等偽數值。
  7. 如請求項3所述的開發方法,其中該典型極限包括一典型-到-典型極限(typical-to-typical corner),該第一極限包括一慢-到-慢的極限(slow-to-slow corner),並且該第二極限包括一快-到-快的極限(fast-to-fast corner)。
  8. 一種用於電路模擬的統計模型的開發系統,該開發系統包括:    一個或複數個處理單元;以及    一個或複數個處理單元經配置以:    接收一極限模型;    接收電晶體的一選定尺寸;以及    基於該極限模型生成該選定尺寸的一統計模型。
  9. 如請求項8所述的開發系統,其中該極限模型包括一典型關係,該典型關係是一電性參數的一數值與該選定尺寸在一典型極限(typical corner)下的關係,該一個或複數個處理單元經配置以:    藉由將該選定尺寸應用於該典型關係來提供該電性參數的一典型數值;    藉由將該典型數值應用於一常態分佈來提供複數個偽數值;以及    基於該典型數值和該等偽數值生成該統計模型。
  10. 如請求項9所述的開發系統,其中該極限模型更包括一第一關係以及一第二關係,該第一關係是該電性參數的數值與該選定尺寸在該第一極限下的關係,該第二關係是該電性參數的數值與該選定尺寸在該第二極限下的關係,該一個或複數個處理單元更經配置以:    藉由將該選定尺寸應用於該第一關係來提供該第一極限數值;    藉由將該選定尺寸應用於該第二關係來提供該第二極限數值;以及    基於該典型數值、該第一極限數值和該第二極限數值生成該等偽數值。
  11. 如請求項10所述的開發系統,其中該等偽數值在該第一極限數值和該第二極限數值之間。
  12. 如請求項10所述的開發系統,其中該一個或複數個處理單元更經配置以:    將該典型數值設為該常態分佈的一中心數值;    將該第一極限數值設置為該常態分佈的一上限數值;    將該第二極限數值設置為該常態分佈的一下限數值;以及    基於該上限數值、該下限數值和該中心數值生成該等偽數值。
  13. 如請求項12所述的開發系統,其中該一個或複數個處理單元更經配置以:    接收一預定數量的該等偽數值;以及    基於該上限數值、該下限數值、該中心數值和該預訂數量生成該等偽數值。
TW107142939A 2018-11-05 2018-11-30 統計模型的開發方法及開發系統 TW202018870A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/180,655 US20200142950A1 (en) 2018-11-05 2018-11-05 Method and system of developing statistical model
US16/180,655 2018-11-05

Publications (1)

Publication Number Publication Date
TW202018870A true TW202018870A (zh) 2020-05-16

Family

ID=70459601

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142939A TW202018870A (zh) 2018-11-05 2018-11-30 統計模型的開發方法及開發系統

Country Status (3)

Country Link
US (1) US20200142950A1 (zh)
CN (1) CN111143767A (zh)
TW (1) TW202018870A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7640143B2 (en) * 2004-11-03 2009-12-29 International Business Machines Corporation Circuit statistical modeling for partially correlated model parameters
US7853431B2 (en) * 2006-09-29 2010-12-14 Fisher-Rosemount Systems, Inc. On-line monitoring and diagnostics of a process using multivariate statistical analysis
US8271256B2 (en) * 2009-08-13 2012-09-18 Oracle America, Inc. Physics-based MOSFET model for variational modeling
FI128213B (en) * 2013-03-04 2019-12-31 Eigenor Oy Method and arrangement for producing a 3D image
US20170046470A1 (en) * 2015-08-14 2017-02-16 Globalfoundries Inc. Process design kit for efficient and accurate mismatch simulation of analog circuits

Also Published As

Publication number Publication date
CN111143767A (zh) 2020-05-12
US20200142950A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
US11188699B2 (en) Placement and routing of cells using cell-level layout-dependent stress effects
Kahng et al. VLSI physical design: from graph partitioning to timing closure
US8463587B2 (en) Hierarchical order ranked simulation of electronic circuits
US8060355B2 (en) Automatic, hierarchy-independent partitioning method for transistor-level circuit simulation
US8302052B2 (en) Methods, systems, and computer program product for implementing hotspot detection, repair, and optimization of an electronic circuit design
US8954915B2 (en) Structured placement of hierarchical soft blocks during physical synthesis of an integrated circuit
TWI789911B (zh) 用於電容值提取的系統、方法及儲存媒體
US9275186B2 (en) Optimization for circuit migration
Prautsch et al. IIP framework: A tool for reuse-centric analog circuit design
US10223485B2 (en) Reliability verification based on combining voltage propagation with simulation
US11023640B1 (en) Methods, systems, and computer program product for characterizing timing behavior of an electronic design with a derived current waveform
TWI480755B (zh) 使用隨機變數之串音時間延遲分析
US11893332B2 (en) Global mistracking analysis in integrated circuit design
TW201935289A (zh) 用於單元交換的方法
Yeap et al. VLSI circuit optimization for 8051 MCU
US8316336B1 (en) Method and mechanism for modeling interconnect structures for integrated circuits
TW202242698A (zh) 製程技術評估的方法
TW202018870A (zh) 統計模型的開發方法及開發系統
US20210042459A1 (en) Automatic derivation of integrated circuit cell mapping rules in an engineering change order flow
Singh et al. Register-Transfer-Level Design for Application-Specific Integrated Circuits
Loh et al. VLSI Design Course with Commercial EDA Tools to Meet Industry Demand–From Logic Synthesis to Physical Design
US11334697B1 (en) Methods, systems, and computer program product for characterizing an electronic design with efficient cell cloning
US11972191B2 (en) System and method for providing enhanced net pruning
Perry et al. Model-based approach for design verification and co-optimization of catastrophic and parametric-related defects due to systematic manufacturing variations
WO2024118404A1 (en) Modeling mandrel tolerance in a design of a semiconductor device