CN107452680A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN107452680A
CN107452680A CN201610379201.6A CN201610379201A CN107452680A CN 107452680 A CN107452680 A CN 107452680A CN 201610379201 A CN201610379201 A CN 201610379201A CN 107452680 A CN107452680 A CN 107452680A
Authority
CN
China
Prior art keywords
contact
grid
insulator layer
active area
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610379201.6A
Other languages
English (en)
Other versions
CN107452680B (zh
Inventor
张城龙
张海洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610379201.6A priority Critical patent/CN107452680B/zh
Priority to US15/483,554 priority patent/US10008495B2/en
Priority to EP17173739.8A priority patent/EP3255677A1/en
Publication of CN107452680A publication Critical patent/CN107452680A/zh
Priority to US15/991,332 priority patent/US10347629B2/en
Application granted granted Critical
Publication of CN107452680B publication Critical patent/CN107452680B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了半导体装置及其制造方法。该方法包括:提供半导体结构,包括:有源区,包括第一掺杂区域和第一接触件;在有源区上的多个栅极结构,包括第一栅极结构和第二栅极结构,第一栅极结构包括第一栅极,第二栅极结构包括第二栅极;在有源区上的层间电介质层;在半导体结构上形成第一绝缘物层;去除第一绝缘物层的一部分,形成到第一栅极的第一接触孔和到第二栅极的第二接触孔;在第一接触孔和第二接触孔的侧壁上形成第二绝缘物层;在两个接触孔中形成第一接触和第二接触;在两个接触上形成第三绝缘物层;相对于第二绝缘物层和第三绝缘物层选择性地蚀刻第一绝缘物层,形成到第一接触件的第三接触孔;在第三接触孔中形成第三接触。

Description

半导体装置及其制造方法
技术领域
本发明涉及半导体领域,特别涉及半导体装置及其制造方法。
背景技术
随着半导体技术的发展,例如,将高k(介电常数)电介质层合并在栅极堆叠中的技术、应变工程技术以及材料和器件结构的优化技术等,使得CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)的尺寸能够继续减小。然而,进一步减小平面型器件的尺寸将遇到比较大的挑战,这是由于平面型器件尺寸的进一步减小将造成短沟道效应以及工艺变化等,从而降低器件可靠性。
鳍式场效应晶体管(FinFETs)技术的出现使得CMOS尺寸的进一步减小成为可能。通过全耗尽的鳍片结构(Fin)可以改善短沟道控制,减小随机掺杂波动,改善迁移率,降低寄生结电容,以及提高面积效率。
在现有FinFET技术中,需要形成分别到源极、漏极和栅极的接触,但是现有的制造过程中,上述各个接触之间容易相连,从而影响器件的可靠性。
发明内容
本发明的发明人发现上述现有技术中存在问题,并因此针对所述问题中的至少一个问题提出了一种新的技术方案。
本发明的目的之一是:提供一种半导体装置的制造方法。本发明的目的之一是:提供一种半导体装置。本发明可以防止接触之间的不可期望的相连,从而可以提高器件的可靠性。
根据本发明的第一方面,提供了一种半导体装置的制造方法,包括:
提供半导体结构,所述半导体结构包括:
有源区,所述有源区包括第一掺杂区域;
位于所述有源区上到所述第一掺杂区域的第一接触件;
在所述有源区上的多个栅极结构,所述多个栅极结构至少包括相邻的第一栅极结构和第二栅极结构,所述第一栅极结构包括第一栅极,所述第二栅极结构包括第二栅极;所述第一栅极结构和所述第二栅极结构分别位于所述第一接触件的两侧;
位于所述有源区上的层间电介质层,所述层间电介质层围绕所述第一栅极结构、所述第二栅极结构和所述第一接触件;
在所述半导体结构上形成第一绝缘物层;
去除所述第一绝缘物层的一部分,形成到所述第一栅极的第一接触孔和到所述第二栅极的第二接触孔;
在所述第一接触孔和所述第二接触孔的侧壁上形成第二绝缘物层;
在形成所述第二绝缘物层后,在所述第一接触孔和所述第二接触孔中填充第一导电材料,以形成到第一栅极顶部的第一接触和到第二栅极顶部的第二接触,使得所述第一接触的上表面和所述第二接触的上表面低于所述第二绝缘物层的上表面;
在所述第一接触和所述第二接触上形成第三绝缘物层,以覆盖所述第一接触和所述第二接触;
相对于所述第二绝缘物层和所述第三绝缘物层选择性地蚀刻所述第一接触件上的第一绝缘物层,形成到所述第一接触件的第三接触孔,以暴露所述第一接触件的至少一部分;
在所述第三接触孔中填充第二导电材料以形成第三接触。
在一些实施例中,所述半导体装置的制造方法还包括:
在形成所述第三接触后执行平坦化以暴露所述第一接触和所述第二接触。
在一些实施例中,所述层间电介质层的上表面与所述第一接触件的上表面基本齐平。
在一些实施例中,所述有源区为半导体鳍片,其中所述多个栅极结构分别包绕所述半导体鳍片的一部分。
在一些实施例中,其中所述第一接触孔和所述第二接触孔的横向尺寸大于相应的栅极的横向尺寸。
在一些实施例中,在所述第一接触孔和所述第二接触孔的侧壁上形成第二绝缘物层的步骤包括:
在所述第一绝缘物层上、所述第一接触孔和所述第二接触孔的侧壁上、以及至少所述第一栅极的顶部和至少所述第二栅极的顶部上形成第二绝缘物层;
去除在所述第一绝缘物层上、在至少所述第一栅极的顶部和至少所述第二栅极的顶部上的第二绝缘物层,保留在所述第一接触孔和所述第二接触孔的侧壁上的第二绝缘物层。
在一些实施例中,所述选择性地蚀刻步骤包括:
在所述第一绝缘物层、所述第二绝缘物层和所述第三绝缘物层上形成缓冲层,以及在所述缓冲层上形成图案化的掩模层;
利用所述掩模层蚀刻所述缓冲层和所述第一绝缘物层形成到所述第一接触件的第三接触孔;
去除所述掩模层以及所述缓冲层的至少一部分。
在一些实施例中,所述第一栅极结构还包括:在所述有源区上的第一栅极绝缘物,其中所述第一栅极在所述第一栅极绝缘物上;
所述第二栅极结构还包括:在所述有源区上的第二栅极绝缘物,其中所述第二栅极在所述第二栅极绝缘物上。
在一些实施例中,所述第一掺杂区域为源极或漏极。
在一些实施例中,所述有源区还包括分别与所述第一掺杂区域间隔开的第二掺杂区域和第三掺杂区域;
所述半导体结构还包括:位于所述有源区上分别到所述第二掺杂区域和所述第三掺杂区域的第二接触件和第三接触件;其中所述层间电介质层的一部分位于所述第二接触件与所述第一栅极结构之间,所述层间电介质层的一部分位于所述第三接触件与所述第二栅极结构之间。
在一些实施例中,所述第一绝缘物层的材料包括:二氧化硅;所述第二绝缘物层和所述第三绝缘物层的材料分别包括:氮化硅。
在一些实施例中,所述第一导电材料和所述第二导电材料分别包括:钨。
根据本发明的第一方面,提供了一种半导体装置,包括:
有源区,所述有源区包括第一掺杂区域;
位于所述有源区上到所述第一掺杂区域的第一接触件;
在所述有源区上的多个栅极结构,所述多个栅极结构至少包括相邻的第一栅极结构和第二栅极结构,所述第一栅极结构包括第一栅极,所述第二栅极结构包括第二栅极;所述第一栅极结构和所述第二栅极结构分别位于所述第一接触件的两侧;
位于所述有源区上的层间电介质层,所述层间电介质层围绕所述第一栅极结构、所述第二栅极结构和所述第一接触件;
在所述第一栅极上到所述第一栅极顶部的第一接触,在所述第二栅极上到所述第二栅极顶部的第二接触;
在所述层间电介质层上包围所述第一接触和所述第二接触的第二绝缘物层,所述第一接触的上表面和所述第二接触的上表面低于所述第二绝缘物层的上表面;
覆盖在所述第一接触和所述第二接触上的第三绝缘物层;
在所述层间电介质层上包围所述第二绝缘物层和所述第三绝缘物层的至少一部分的第一绝缘物层,其中所述第一绝缘物层形成有到所述第一接触件的接触孔,以暴露所述第一接触件的至少一部分。
在一些实施例中,所述有源区为半导体鳍片,其中所述多个栅极结构分别包绕所述半导体鳍片的一部分。
在一些实施例中,其中所述第一接触和所述第二接触的横向尺寸大于相应的栅极的横向尺寸。
在一些实施例中,所述第一栅极结构还包括:在所述有源区上的第一栅极绝缘物,其中所述第一栅极在所述第一栅极绝缘物上;
所述第二栅极结构还包括:在所述有源区上的第二栅极绝缘物,其中所述第二栅极在所述第二栅极绝缘物上。
在一些实施例中,所述第一掺杂区域为源极或漏极。
在一些实施例中,所述有源区还包括分别与所述第一掺杂区域间隔开的第二掺杂区域和第三掺杂区域;
所述半导体结构还包括:位于所述有源区上分别到所述第二掺杂区域和第三掺杂区域的第二接触件和第三接触件;其中所述层间电介质层的一部分位于所述第二接触件与所述第一栅极结构之间,所述层间电介质层的一部分位于所述第三接触件与所述第二栅极结构之间。
在一些实施例中,所述第一绝缘物层的材料包括:二氧化硅;所述第二绝缘物层和所述第三绝缘物层的材料分别包括:氮化硅。
在一些实施例中,所述第一接触和所述第二接触的材料分别包括:钨。
根据本发明一些实施例的半导体装置制造方法可以防止接触之间的不期望的相连,从而可以提高器件的可靠性。
通过以下参照附图对本发明的示例性实施例的详细描述,本发明的其它特征及其优点将会变得清楚。
附图说明
构成说明书的一部分的附图描述了本发明的实施例,并且连同说明书一起用于解释本发明的原理。
参照附图,根据下面的详细描述,可以更加清楚地理解本发明,其中:
图1是示出根据本发明一些实施例的半导体装置的制造方法的流程图。
图2是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图3是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图4是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图5是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图6是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图7是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图8是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图9是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图10是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图11是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图12是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图13是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图14是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
图15是示意性地示出根据本发明一些实施例的半导体装置的制造过程中一个阶段的结构的横截面示意图。
具体实施方式
现在将参照附图来详细描述本发明的各种示例性实施例。应注意到:除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不限制本发明的范围。
同时,应当明白,为了便于描述,附图中所示出的各个部分的尺寸并不是按照实际的比例关系绘制的。
以下对至少一个示例性实施例的描述实际上仅仅是说明性的,决不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和设备可能不作详细讨论,但在适当情况下,所述技术、方法和设备应当被视为授权说明书的一部分。
在这里示出和讨论的所有示例中,任何具体值应被解释为仅仅是示例性的,而不是作为限制。因此,示例性实施例的其它示例可以具有不同的值。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步讨论。
图1是示出根据本发明一些实施例的半导体装置的制造方法的流程图。图2至图15分别是示意性地示出根据本发明一些实施例的半导体装置的制造过程中若干阶段的结构的横截面示意图。下面结合图1以及图2至图15描述本发明一些实施例的半导体装置的制造过程。
如图1所示,在步骤S101,提供半导体结构。
图2示意性地示出了根据本发明一些实施例的步骤S101的结构的横截面示意图。如图2所示,提供半导体结构20。该半导体结构20可以包括:有源区24,该有源区可以包括第一掺杂区域241。例如该第一掺杂区域可以为源极或漏极。例如,有源区的材料可以包括诸如硅的半导体材料。在一些实施例中,有源区24可以为半导体鳍片。
可选地,如图2所示,有源区24还可以包括分别与第一掺杂区域241间隔开的第二掺杂区域242和第三掺杂区域243。例如在第一掺杂区域241为漏极的情况下,第二掺杂区域242和第三掺杂区域243可以为源极,即两个例如CMOS器件的半导体装置可以共用一个漏极。又例如,在第一掺杂区域241为源极的情况下,第二掺杂区域242和第三掺杂区域243可以为漏极,即两个例如CMOS器件的半导体装置可以共用一个源极。在一些实施例中,图2示出的半导体结构可以是鳍片式半导体结构的一部分(图2中左侧曲线表示断面线),即在有源区上还可以包括多个例如第一掺杂区域、第二掺杂区域或第三掺杂区域的掺杂区域。
可选地,如图2所示,半导体结构20还可以包括:位于有源区24上到第一掺杂区域241的第一接触件21。例如,该第一接触件的材料可以包括诸如钨的金属。
在一些实施例中,如图2所示,半导体结构20还可以包括:位于有源区24上分别到第二掺杂区域242和第三掺杂区域243的第二接触件22和第三接触件23。例如,该第二接触件和第三接触件的材料可以包括诸如钨的金属。
可选地,如图2所示,半导体结构20还可以包括:在有源区24上的多个栅极结构。所述多个栅极结构至少包括相邻的第一栅极结构11和第二栅极结构12。该第一栅极结构11可以包括第一栅极111。该第二栅极结构12可以包括第二栅极121。该第一栅极结构和该第二栅极结构分别位于第一接触件21的两侧。例如,第一栅极和第二栅极的材料可以包括:多晶硅或者诸如钨、铝等金属。
在本发明的一些实施例中,如图2所示,有源区24可以为半导体鳍片,其中所述多个栅极结构分别包绕该半导体鳍片的一部分。
在一些实施例中,如图2所示,第一栅极结构11还可以包括:在有源区24上的第一栅极绝缘物112。其中第一栅极111在该第一栅极绝缘物112上。在一些实施例中,第二栅极结构12还可以包括:在有源区24上的第二栅极绝缘物122。其中第二栅极121在该第二栅极绝缘物122上。第一栅极绝缘物和第二栅极绝缘物的材料可以包括二氧化硅。
可选地,如图2所示,半导体结构20还可以包括:位于有源区24上的层间电介质层25。该层间电介质层25围绕第一栅极结构11、第二栅极结构12和第一接触件21。例如,该层间电介质层的材料可以包括:氮化硅。
在一些实施例中,如图2所示,层间电介质层25的一部分位于第二接触件22与第一栅极结构11之间,层间电介质层25的一部分位于第三接触件23与第二栅极结构12之间。
在一些实施例中,如图2所示,层间电介质层25的一部分位于第一接触件21与第一栅极结构11之间,层间电介质层25的一部分位于第一接触件21与第二栅极结构12之间。
在一些实施例中,层间电介质层25的上表面与第一接触件21的上表面基本齐平。
可选地,如图2所示,半导体结构20还可以包括:填充在有源区24、层间电介质层25以及各个接触件(例如第一接触件21、第二接触件22和第三接触件23)周围的第四绝缘物层26。例如,该第四绝缘物层的材料可以包括二氧化硅。
回到图1,在步骤S102,在半导体结构上形成第一绝缘物层。
图3示意性地示出了根据本发明一些实施例的步骤S102的结构的横截面示意图。如图3所示,例如可以采用沉积工艺在半导体结构20上形成第一绝缘物层31。在一些实施例中,第一绝缘物层的材料可以包括:二氧化硅。
在一些实施例中,如图3所示,第一绝缘物层31的一部分形成在第四绝缘物层26上。
回到图1,在步骤S103,去除第一绝缘物层的一部分,形成到第一栅极的第一接触孔和到第二栅极的第二接触孔。
图4示意性地示出了根据本发明一些实施例的步骤S103的结构的横截面示意图。例如,如图4所示,可以利用图案化的掩模(例如光致抗蚀剂,图4中未示出)通过蚀刻工艺去除第一绝缘物层31的一部分,形成到第一栅极111的第一接触孔41和到第二栅极121的第二接触孔42。在一些实施例中,该去除步骤还可以去除层间电介质层25的一部分,以形成到第一栅极顶部的第一接触孔41和到第二栅极顶部的第二接触孔42。
在一些实施例中,第一接触孔和第二接触孔的横向尺寸大于相应的栅极的横向尺寸。例如,如图4所示,第一接触孔41的横向尺寸大于第一栅极111的横向尺寸,第二接触孔42的横向尺寸大于第二栅极121的横向尺寸。又例如,在形成接触孔的过程中,第一接触孔41和第二接触孔42的横向尺寸可以比目标尺寸大10%以上,这里目标尺寸例如为第一栅极或第二栅极的横向尺寸。
在一些实施例中,该步骤S103中,接触孔的横向尺寸=目标尺寸+2×原子层沉积厚度。这里,目标尺寸可以是第一栅极或第二栅极的横向尺寸,原子层沉积厚度是指在后续外延生长步骤中原子层沉积层(例如后续提及的第二绝缘物层)的厚度。
回到图1,在步骤S104,在第一接触孔和第二接触孔的侧壁上形成第二绝缘物层。
图6示意性地示出了根据本发明一些实施例的步骤S104的结构的横截面示意图。例如,如图6所示,在第一接触孔41和第二接触孔42的侧壁上形成第二绝缘物层32。在一些实施例中,该第二绝缘物层的材料可以包括:氮化硅。
可选地,该步骤S104可以包括:如图5所示,在第一绝缘物层31上、第一接触孔41和第二接触孔42的侧壁上、以及至少第一栅极111的顶部和至少第二栅极121的顶部上形成第二绝缘物层32。例如,可以采用原子层沉积(Atomic Layer Deposition,简称为ALD)工艺形成该第二绝缘物层。
在一些实施例中,如图5所示,当形成的第一接触孔和第二接触孔的横向尺寸大于相应的接触件的横向尺寸时,在接触孔的底部,还可以在被蚀刻的层间电介质层25的一部分上形成第二绝缘物层。
在另一些实施例中,当形成的第一接触孔和第二接触孔的横向尺寸不大于相应的接触件的横向尺寸时,即层间电介质层25没有被蚀刻,则也可以不在层间电介质层25上形成第二绝缘物层。
可选地,该步骤S104还可以包括:如图6所示,去除在第一绝缘物层31上、在至少第一栅极111的顶部和至少第二栅极121的顶部上的第二绝缘物层,保留在第一接触孔41和第二接触孔42的侧壁上的第二绝缘物层32。例如可以采用各向异性蚀刻去除不期望保留的第二绝缘物,而保留在第一接触孔和第二接触孔的侧壁上的第二绝缘物层。
回到图1,在步骤S105,在形成第二绝缘物层后,在第一接触孔和第二接触孔中填充第一导电材料,以形成到第一栅极顶部的第一接触和到第二栅极顶部的第二接触,使得第一接触的上表面和第二接触的上表面低于第二绝缘物层的上表面。例如,该第一导电材料可以包括:诸如钨的金属。
图8示意性地示出了根据本发明一些实施例的步骤S105的结构的横截面示意图。如图8所示,在形成第二绝缘物层后,在第一接触孔41和第二接触孔42中填充第一导电材料,以形成到第一栅极111顶部的第一接触61和到第二栅极121顶部的第二接触62,使得第一接触61的上表面和第二接触62的上表面低于第二绝缘物层32的上表面。
可选地,该步骤S105可以包括:如图7所示,在图6所示的半导体结构上沉积第一导电材料51,该第一导电材料51填充第一接触孔41和第二接触孔42。
可选地,该步骤S105还可以包括:如图8所示,例如可以对该第一导电材料51进行蚀刻,形成到第一栅极111顶部的第一接触61和到第二栅极121顶部的第二接触62,使得第一接触61的上表面和第二接触62的上表面低于第二绝缘物层32的上表面。
回到图1,在步骤S106,在第一接触和第二接触上形成第三绝缘物层,以覆盖第一接触和第二接触。
图9示意性地示出了根据本发明一些实施例的步骤S106的结构的横截面示意图。如图9所示,在第一接触61和第二接触62上形成第三绝缘物层33,以覆盖第一接触61和第二接触62。例如,可以在图8所示的半导体结构上沉积第三绝缘物层,然后对所形成的第三绝缘物层执行平坦化处理,从而形成图9所示的第三绝缘物层。在一些实施例中,第三绝缘物层的材料可以包括:氮化硅。
回到图1,在步骤S107,相对于第二绝缘物层和第三绝缘物层选择性地蚀刻第一接触件上的第一绝缘物层,形成到第一接触件的第三接触孔,以暴露第一接触件的至少一部分。在一些实施例中,该步骤S107还可以形成到第二接触件的第四接触孔和到第三接触件的第五接触孔,以分别暴露第二接触件的至少一部分和第三接触件的至少一部分。
在一些实施例中,该步骤S107可以包括:例如如图10所示,在第一绝缘物层31、第二绝缘物层32和第三绝缘物层33上形成缓冲层71,以及在缓冲层71上形成图案化的掩模层(例如光致抗蚀剂)72。在一些实施例中,缓冲层71可以由一层或多层构成,例如,该缓冲层可以包括:SiARC(Si-containing anti-reflection coating,含硅抗反射涂层)和ODL(organic under-layer resist,有机底层抗蚀剂),或者BARC(Bottome Anti-Reflect Coating,博顿抗反射涂层),或者BARC、LTO(Low temperature oxide,低温氧化物)和A-C(Amorphous Carbon,非晶碳)。例如如图10所示,缓冲层71可以包括:在第一绝缘物层31、第二绝缘物层32和第三绝缘物层33上的第一缓冲层711(例如A-C)、在第一缓冲层上的第二缓冲层712(例如LTO)和在第二缓冲层上的第三缓冲层713(例如BARC)。
可选地,该步骤S107还可以包括:例如如图11所示,利用掩模层72蚀刻缓冲层71和第一绝缘物层31形成到第一接触件21的第三接触孔43。该蚀刻步骤具有高选择性。例如第一绝缘物层的材料可以为二氧化硅,第二绝缘物层和第三绝缘物层的材料可以为氮化硅。例如可以采用能够蚀刻二氧化硅而基本不与氮化硅反应的蚀刻液来实施这里的选择性蚀刻工艺。例如,可以采用稀释的氢氟酸溶液(水与HF的体积比可以为500:1至2000:1)作为这里的蚀刻液。
在一些实施例中,如图11所示,还可以利用掩模层72蚀刻缓冲层71和第一绝缘物层31形成到第二接触件22的第四接触孔44和到第三接触件23的第五接触孔45。
可选地,该步骤S107还可以包括:去除掩模层以及缓冲层的至少一部分。例如,如图12所示,可以去除掩模层72和一部分缓冲层71,例如去除第二缓冲层712和第三缓冲层713,而保留第一缓冲层711。又例如,也可以去除掩模层72和全部缓冲层71。这里以去除掩模层72、第二缓冲层712和第三缓冲层713为例进行后续步骤的说明。
回到图1,在步骤S108,在第三接触孔中填充第二导电材料以形成第三接触。在一些实施例中,该制造方法还可以包括:在第四接触孔和第五接触孔中填充第二导电材料以分别形成第四接触和第五接触。例如,第二导电材料可以包括:诸如钨的金属。
图13示意性地示出了根据本发明一些实施例的步骤S108的结构的横截面示意图。如图13所示,在第三接触孔43中填充第二导电材料以形成第三接触63。在一些实施例中,在第四接触孔44中填充第二导电材料以形成第四接触64。在一些实施例中,在第五接触孔45中填充第二导电材料以形成第五接触65。
图14示意性地示出了根据本发明另一些实施例的步骤S108的结构的横截面示意图。如图14所示,例如可以在图12所示的半导体结构上沉积第二导电材料52,该第二导电材料52填充第三接触孔43。在一些实施例中,该第二导电材料52还可以填充第四接触孔44和第五接触孔45。
至此,提供了根据本发明一些实施例的半导体装置的制造方法。
在本发明的一些实施例中,半导体装置的制造方法还可以包括:如图15所示,在形成第三接触63后执行平坦化(例如化学机械平坦化)以暴露第一接触61和第二接触62。例如可以对图13或图14所示的结构执行平坦化以暴露第一接触和第二接触。
根据本发明一些实施例的半导体装置制造方法可以防止各个接触之间的不可期望的相连。例如可以防止到第一栅极111的第一接触61与到第一接触件21的第三接触63相连,也可以防止第三接触63与到第二栅极121的第二接触62相连,从而可以提高器件的可靠性。
在一些实施例中,本发明介绍的半导体装置的制造方法除了可以应用在FinFET上,还可以应用在平面型器件上,因此本发明的范围并不仅限于应用在FinFET上。
本发明还提供了一种半导体装置,例如,如图12所示,半导体装置可以包括:有源区24,该有源区可以包括第一掺杂区域241。例如该第一掺杂区域可以为源极或漏极。例如,该有源区的材料可以包括诸如硅的半导体材料。在一些实施例中,该有源区24可以为半导体鳍片。
在一些实施例中,该有源区24还可以包括分别与第一掺杂区域241间隔开的第二掺杂区域242和第三掺杂区域243。例如在第一掺杂区域241为漏极的情况下,第二掺杂区域242和第三掺杂区域243可以为源极,即两个例如CMOS器件的半导体装置可以共用一个漏极。又例如,在第一掺杂区域241为源极的情况下,第二掺杂区域242和第三掺杂区域243可以为漏极,即两个例如CMOS器件的半导体装置可以共用一个源极。在一些实施例中,图12示出的半导体装置可以是鳍片式半导体结构的一部分,即在有源区上还可以包括多个例如第一掺杂区域、第二掺杂区域或第三掺杂区域的掺杂区域。
可选地,如图12所示,该半导体装置还可以包括:位于有源区24上到第一掺杂区域241的第一接触件21。例如,该第一接触件的材料可以包括诸如钨的金属。
在一些实施例中,如图12所示,该半导体装置还可以包括:位于有源区24上分别到第二掺杂区域242和第三掺杂区域243的第二接触件22和第三接触件23。例如,该第二接触件和第三接触件的材料可以包括诸如钨的金属。
可选地,如图12所示,该半导体装置还可以包括:在有源区24上的多个栅极结构。所述多个栅极结构至少包括相邻的第一栅极结构11和第二栅极结构12。该第一栅极结构11可以包括第一栅极111。该第二栅极结构12可以包括第二栅极121。该第一栅极结构11和该第二栅极结构12分别位于第一接触件21的两侧。例如,第一栅极和第二栅极的材料可以包括:多晶硅或者诸如钨、铝等金属。
在本发明的一些实施例中,如图12所示,有源区24可以为半导体鳍片,其中所述多个栅极结构分别包绕该半导体鳍片的一部分。
在一些实施例中,如图12所示,第一栅极结构11还可以包括:在有源区24上的第一栅极绝缘物112。其中第一栅极111在该第一栅极绝缘物112上。在一些实施例中,第二栅极结构12还可以包括:在有源区24上的第二栅极绝缘物122。其中第二栅极121在该第二栅极绝缘物122上。第一栅极绝缘物和第二栅极绝缘物的材料可以包括二氧化硅。
可选地,如图12所示,该半导体装置还可以包括:位于有源区24上的层间电介质层25。该层间电介质层25围绕第一栅极结构11、第二栅极结构12和第一接触件21。例如,该层间电介质层的材料可以包括:氮化硅。
在一些实施例中,如图12所示,层间电介质层25的一部分位于第二接触件22与第一栅极结构11之间,层间电介质层25的一部分位于第三接触件23与第二栅极结构12之间。
在一些实施例中,如图12所示,层间电介质层25的一部分位于第一接触件21与第一栅极结构11之间,层间电介质层25的一部分位于第一接触件21与第二栅极结构12之间。
在一些实施例中,层间电介质层25的上表面与第一接触件21的上表面基本齐平。
可选地,如图12所示,该半导体装置还可以包括:在第一栅极111上到第一栅极顶部的第一接触61,在第二栅极121上到第二栅极顶部的第二接触62。
在一些实施例中,第一接触61和第二接触62的横向尺寸大于相应的栅极的横向尺寸。例如,第一接触61的横向尺寸大于第一栅极111的横向尺寸,第二接触62的横向尺寸大于第二栅极121的横向尺寸。
在一些实施例中,第一接触和第二接触的材料分别可以包括:诸如钨的金属。
可选地,如图12所示,该半导体装置还可以包括:在层间电介质层25上包围第一接触61和第二接触62的第二绝缘物层32。该第一接触61的上表面和该第二接触62的上表面低于第二绝缘物层32的上表面。例如,第二绝缘物层的材料可以包括:氮化硅。
可选地,如图12所示,该半导体装置还可以包括:覆盖在第一接触61和第二接触62上的第三绝缘物层33。例如,第三绝缘物层的材料可以包括:氮化硅。
可选地,如图12所示,该半导体装置还可以包括:在层间电介质层25上包围第二绝缘物层32和第三绝缘物层33的至少一部分的第一绝缘物层31,其中该第一绝缘物层31形成有到第一接触件21的接触孔43(即第三接触孔43),以暴露第一接触件的至少一部分。例如,第一绝缘物层的材料可以包括:二氧化硅。
在一些实施例中,该第一绝缘物层31还可以形成有到第二接触件22的第四接触孔44和到第三接触件23的第五接触孔45,分别暴露第二接触件的至少一部分和第三接触件的至少一部分。
可选地,如图12所示,半导体装置还可以包括:填充在有源区24、层间电介质层25以及各个接触件(例如第一接触件21、第二接触件22和第三接触件23)周围的第四绝缘物层26。其中,第一绝缘物层31的一部分形成在该第四绝缘物层26上。例如,该第四绝缘物层的材料可以包括二氧化硅。
可选地,如图12所示,该半导体装置还可以包括:位于第一绝缘物层31、第二绝缘物层32和第三绝缘物层33上的缓冲层,例如第一缓冲层711。
根据本发明一些实施例,可以在上述半导体装置的接触孔中形成到相应接触件的接触,并且可是使得到栅极的接触与到各个接触件的接触不相连,从而可以提高器件的可靠性。
至此,已经详细描述了根据本发明的制造半导体器件的方法和所形成的半导体器件。为了避免遮蔽本发明的构思,没有描述本领域所公知的一些细节。本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。
虽然已经通过示例对本发明的一些特定实施例进行了详细说明,但是本领域的技术人员应该理解,以上示例仅是为了进行说明,而不是为了限制本发明的范围。本领域的技术人员应该理解,可在不脱离本发明的范围和精神的情况下,对以上实施例进行修改。本发明的范围由所附权利要求来限定。

Claims (20)

1.一种半导体装置的制造方法,其特征在于,包括:
提供半导体结构,所述半导体结构包括:
有源区,所述有源区包括第一掺杂区域;
位于所述有源区上到所述第一掺杂区域的第一接触件;
在所述有源区上的多个栅极结构,所述多个栅极结构至少包括相邻的第一栅极结构和第二栅极结构,所述第一栅极结构包括第一栅极,所述第二栅极结构包括第二栅极;所述第一栅极结构和所述第二栅极结构分别位于所述第一接触件的两侧;
位于所述有源区上的层间电介质层,所述层间电介质层围绕所述第一栅极结构、所述第二栅极结构和所述第一接触件;
在所述半导体结构上形成第一绝缘物层;
去除所述第一绝缘物层的一部分,形成到所述第一栅极的第一接触孔和到所述第二栅极的第二接触孔;
在所述第一接触孔和所述第二接触孔的侧壁上形成第二绝缘物层;
在形成所述第二绝缘物层后,在所述第一接触孔和所述第二接触孔中填充第一导电材料,以形成到第一栅极顶部的第一接触和到第二栅极顶部的第二接触,使得所述第一接触的上表面和所述第二接触的上表面低于所述第二绝缘物层的上表面;
在所述第一接触和所述第二接触上形成第三绝缘物层,以覆盖所述第一接触和所述第二接触;
相对于所述第二绝缘物层和所述第三绝缘物层选择性地蚀刻所述第一接触件上的第一绝缘物层,形成到所述第一接触件的第三接触孔,以暴露所述第一接触件的至少一部分;
在所述第三接触孔中填充第二导电材料以形成第三接触。
2.根据权利要求1所述半导体装置的制造方法,其特征在于,还包括:
在形成所述第三接触后执行平坦化以暴露所述第一接触和所述第二接触。
3.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述层间电介质层的上表面与所述第一接触件的上表面基本齐平。
4.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述有源区为半导体鳍片,其中所述多个栅极结构分别包绕所述半导体鳍片的一部分。
5.根据权利要求1所述半导体装置的制造方法,其特征在于,
其中所述第一接触孔和所述第二接触孔的横向尺寸大于相应的栅极的横向尺寸。
6.根据权利要求1所述半导体装置的制造方法,其特征在于,
在所述第一接触孔和所述第二接触孔的侧壁上形成第二绝缘物层的步骤包括:
在所述第一绝缘物层上、所述第一接触孔和所述第二接触孔的侧壁上、以及至少所述第一栅极的顶部和至少所述第二栅极的顶部上形成第二绝缘物层;
去除在所述第一绝缘物层上、在至少所述第一栅极的顶部和至少所述第二栅极的顶部上的第二绝缘物层,保留在所述第一接触孔和所述第二接触孔的侧壁上的第二绝缘物层。
7.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述选择性地蚀刻步骤包括:
在所述第一绝缘物层、所述第二绝缘物层和所述第三绝缘物层上形成缓冲层,以及在所述缓冲层上形成图案化的掩模层;
利用所述掩模层蚀刻所述缓冲层和所述第一绝缘物层形成到所述第一接触件的第三接触孔;
去除所述掩模层以及所述缓冲层的至少一部分。
8.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述第一栅极结构还包括:
在所述有源区上的第一栅极绝缘物,其中所述第一栅极在所述第一栅极绝缘物上;
所述第二栅极结构还包括:
在所述有源区上的第二栅极绝缘物,其中所述第二栅极在所述第二栅极绝缘物上。
9.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述第一掺杂区域为源极或漏极。
10.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述有源区还包括分别与所述第一掺杂区域间隔开的第二掺杂区域和第三掺杂区域;
所述半导体结构还包括:位于所述有源区上分别到所述第二掺杂区域和所述第三掺杂区域的第二接触件和第三接触件;其中所述层间电介质层的一部分位于所述第二接触件与所述第一栅极结构之间,所述层间电介质层的一部分位于所述第三接触件与所述第二栅极结构之间。
11.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述第一绝缘物层的材料包括:二氧化硅;
所述第二绝缘物层和所述第三绝缘物层的材料分别包括:氮化硅。
12.根据权利要求1所述半导体装置的制造方法,其特征在于,
所述第一导电材料和所述第二导电材料分别包括:钨。
13.一种半导体装置,其特征在于,包括:
有源区,所述有源区包括第一掺杂区域;
位于所述有源区上到所述第一掺杂区域的第一接触件;
在所述有源区上的多个栅极结构,所述多个栅极结构至少包括相邻的第一栅极结构和第二栅极结构,所述第一栅极结构包括第一栅极,所述第二栅极结构包括第二栅极;所述第一栅极结构和所述第二栅极结构分别位于所述第一接触件的两侧;
位于所述有源区上的层间电介质层,所述层间电介质层围绕所述第一栅极结构、所述第二栅极结构和所述第一接触件;
在所述第一栅极上到所述第一栅极顶部的第一接触,在所述第二栅极上到所述第二栅极顶部的第二接触;
在所述层间电介质层上包围所述第一接触和所述第二接触的第二绝缘物层,所述第一接触的上表面和所述第二接触的上表面低于所述第二绝缘物层的上表面;
覆盖在所述第一接触和所述第二接触上的第三绝缘物层;
在所述层间电介质层上包围所述第二绝缘物层和所述第三绝缘物层的至少一部分的第一绝缘物层,其中所述第一绝缘物层形成有到所述第一接触件的接触孔,以暴露所述第一接触件的至少一部分。
14.根据权利要求13所述半导体装置,其特征在于,
所述有源区为半导体鳍片,其中所述多个栅极结构分别包绕所述半导体鳍片的一部分。
15.根据权利要求13所述半导体装置,其特征在于,
其中所述第一接触和所述第二接触的横向尺寸大于相应的栅极的横向尺寸。
16.根据权利要求13所述半导体装置,其特征在于,
所述第一栅极结构还包括:
在所述有源区上的第一栅极绝缘物,其中所述第一栅极在所述第一栅极绝缘物上;
所述第二栅极结构还包括:
在所述有源区上的第二栅极绝缘物,其中所述第二栅极在所述第二栅极绝缘物上。
17.根据权利要求13所述半导体装置,其特征在于,
所述第一掺杂区域为源极或漏极。
18.根据权利要求13所述半导体装置,其特征在于,
所述有源区还包括分别与所述第一掺杂区域间隔开的第二掺杂区域和第三掺杂区域;
所述半导体结构还包括:位于所述有源区上分别到所述第二掺杂区域和第三掺杂区域的第二接触件和第三接触件;其中所述层间电介质层的一部分位于所述第二接触件与所述第一栅极结构之间,所述层间电介质层的一部分位于所述第三接触件与所述第二栅极结构之间。
19.根据权利要求13所述半导体装置,其特征在于,
所述第一绝缘物层的材料包括:二氧化硅;
所述第二绝缘物层和所述第三绝缘物层的材料分别包括:氮化硅。
20.根据权利要求13所述半导体装置,其特征在于,
所述第一接触和所述第二接触的材料分别包括:钨。
CN201610379201.6A 2016-06-01 2016-06-01 半导体装置及其制造方法 Active CN107452680B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201610379201.6A CN107452680B (zh) 2016-06-01 2016-06-01 半导体装置及其制造方法
US15/483,554 US10008495B2 (en) 2016-06-01 2017-04-10 Method for forming FinFET device
EP17173739.8A EP3255677A1 (en) 2016-06-01 2017-05-31 Method for forming finfet device
US15/991,332 US10347629B2 (en) 2016-06-01 2018-05-29 FinFET device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610379201.6A CN107452680B (zh) 2016-06-01 2016-06-01 半导体装置及其制造方法

Publications (2)

Publication Number Publication Date
CN107452680A true CN107452680A (zh) 2017-12-08
CN107452680B CN107452680B (zh) 2020-05-05

Family

ID=58994869

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610379201.6A Active CN107452680B (zh) 2016-06-01 2016-06-01 半导体装置及其制造方法

Country Status (3)

Country Link
US (2) US10008495B2 (zh)
EP (1) EP3255677A1 (zh)
CN (1) CN107452680B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107452680B (zh) 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11210447B2 (en) * 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120313153A1 (en) * 2011-06-13 2012-12-13 International Business Machines System and method of plating conductive gate contacts on metal gates for self-aligned contact interconnections
CN104241142A (zh) * 2013-06-13 2014-12-24 三星电子株式会社 制造半导体器件的方法
US9111962B1 (en) * 2014-03-20 2015-08-18 International Business Machines Corporation Selective dielectric spacer deposition for exposing sidewalls of a finFET

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100464416B1 (ko) * 2002-05-14 2005-01-03 삼성전자주식회사 증가된 유효 채널 길이를 가지는 반도체 소자의 제조 방법
US7074717B2 (en) 2003-03-04 2006-07-11 Micron Technology, Inc. Damascene processes for forming conductive structures
JP2007095872A (ja) 2005-09-28 2007-04-12 Toshiba Corp 半導体装置の製造方法
US8969922B2 (en) 2012-02-08 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and method of forming the same
CN104218082B (zh) * 2013-06-04 2017-08-25 中芯国际集成电路制造(上海)有限公司 高迁移率鳍型场效应晶体管及其制造方法
US9379058B2 (en) 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9312354B2 (en) * 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US9318384B2 (en) 2014-03-24 2016-04-19 International Business Machines Corporation Dielectric liner for a self-aligned contact via structure
US9711646B2 (en) * 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
JP2015220420A (ja) * 2014-05-21 2015-12-07 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体装置
US20160005822A1 (en) 2014-07-01 2016-01-07 Qualcomm Incorporated Self-aligned via for gate contact of semiconductor devices
US20160049401A1 (en) * 2014-08-13 2016-02-18 Globalfoundries Inc. Hybrid contacts for commonly fabricated semiconductor devices using same metal
US9397003B1 (en) * 2015-05-27 2016-07-19 Globalfoundries Inc. Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques
CN106340455B (zh) * 2015-07-06 2021-08-03 联华电子股份有限公司 半导体元件及其制作方法
US9870953B2 (en) * 2015-10-26 2018-01-16 International Business Machines Corporation System on chip material co-integration
CN106803484B (zh) * 2015-11-26 2021-08-10 联华电子股份有限公司 半导体元件及其制作方法
US9887289B2 (en) * 2015-12-14 2018-02-06 International Business Machines Corporation Method and structure of improving contact resistance for passive and long channel devices
US9653364B1 (en) * 2016-01-08 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US9824920B2 (en) * 2016-04-04 2017-11-21 Globalfoundries Inc. Methods of forming self-aligned contact structures by work function material layer recessing and the resulting devices
KR102514620B1 (ko) * 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9793372B1 (en) * 2016-05-25 2017-10-17 Globalfoundries Inc. Integrated circuit including a dummy gate structure and method for the formation thereof
CN107452680B (zh) 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107564953B (zh) * 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120313153A1 (en) * 2011-06-13 2012-12-13 International Business Machines System and method of plating conductive gate contacts on metal gates for self-aligned contact interconnections
CN104241142A (zh) * 2013-06-13 2014-12-24 三星电子株式会社 制造半导体器件的方法
US9111962B1 (en) * 2014-03-20 2015-08-18 International Business Machines Corporation Selective dielectric spacer deposition for exposing sidewalls of a finFET

Also Published As

Publication number Publication date
US10347629B2 (en) 2019-07-09
CN107452680B (zh) 2020-05-05
EP3255677A1 (en) 2017-12-13
US10008495B2 (en) 2018-06-26
US20170352658A1 (en) 2017-12-07
US20180294262A1 (en) 2018-10-11

Similar Documents

Publication Publication Date Title
US9337304B2 (en) Method of making semiconductor device
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
KR101372603B1 (ko) 핀 전계 효과 트랜지스터의 게이트 스택
TWI524464B (zh) FinFET元件與其形成方法
US20110272739A1 (en) Method for fabricating a strained structure
CN106328692A (zh) 鳍式场效应晶体管(finfet)器件结构及其形成方法
US20170330934A1 (en) Devices and methods of forming self-aligned, uniform nano sheet spacers
CN103515215B (zh) 一种鳍式场效应管制作方法
US10600795B2 (en) Integration of floating gate memory and logic device in replacement gate flow
CN104752218B (zh) 半导体器件的形成方法
KR101745795B1 (ko) 반도체 디바이스 및 이의 제조 방법
US11233137B2 (en) Transistors and methods of forming transistors using vertical nanowires
CN113725277A (zh) 半导体装置
CN109686702B (zh) 半导体结构及其形成方法
CN104167357B (zh) 半导体器件及其制造方法
CN107452680A (zh) 半导体装置及其制造方法
CN110571193B (zh) 单扩散隔断结构的制造方法和半导体器件的制造方法
CN104064469A (zh) 半导体器件制造方法
CN107046056B (zh) 鳍式场效应晶体管制造方法
CN104103506A (zh) 半导体器件制造方法
CN109148580B (zh) 一种FinFET器件及其制作方法
CN108155241B (zh) 一种抗辐照多栅器件及其制备方法
CN108122965B (zh) 半导体结构及其形成方法
TWI518792B (zh) 半導體製程
CN106531795B (zh) 半导体装置与半导体装置的栅极堆叠的制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant