CN106887402A - 金属栅极晶体管、集成电路、系统及其制造方法 - Google Patents

金属栅极晶体管、集成电路、系统及其制造方法 Download PDF

Info

Publication number
CN106887402A
CN106887402A CN201611084056.5A CN201611084056A CN106887402A CN 106887402 A CN106887402 A CN 106887402A CN 201611084056 A CN201611084056 A CN 201611084056A CN 106887402 A CN106887402 A CN 106887402A
Authority
CN
China
Prior art keywords
conductive strips
width
ild
conductive
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201611084056.5A
Other languages
English (en)
Inventor
何建志
赵治平
曾华洲
陈俊宏
苏嘉祎
亚历克斯·卡尔尼茨基
郑价言
庄学理
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106887402A publication Critical patent/CN106887402A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

一种形成集成电路结构的方法,包括:在层间电介质(ILD)中提供栅极带。栅极带包括高k栅极电介质上方的金属栅电极。电传导结构形成在栅极带上方,且导电带形成在电传导结构上方。导电带的宽度比栅极带的宽度大。接触插塞形成在导电带上方,并被附加ILD层围绕。

Description

金属栅极晶体管、集成电路、系统及其制造方法
本申请是于2011年08月19日提交的申请号为201110241601.8的名称为“金属栅极晶体管、集成电路、系统及其制造方法”的发明专利申请的分案申请。
技术领域
本发明基本上涉及半导体器件领域,更具体地,涉及金属栅极晶体管、集成电路、系统及其制造方法。
背景技术
半导体集成电路(IC)工业经历了迅猛的发展。IC材料和设计中的技术进步产生了数代IC,每代IC都比前一代IC的电路更小、更复杂。然而,这些进步增加了运行IC和制造IC的复杂性,并且,为了实现这些进步,需要在IC运行和IC制造中进行同步的发展。
在IC的发展过程中,随着几何尺寸(即,利用制造工艺能够创造出的最小元件(或者线))的减小,功能密度(即,单位芯片面积上所互连的器件的数量)逐渐增加。这种缩小工艺通常有助于提高生产效率,以及降低相关成本。这种缩小工艺还产生了相对较高的功率耗散值,这个问题可以通过使用低功率耗散器件解决,比如使用互补金属氧化物半导体(CMOS)器件。
在尺寸改变的趋势中,可以使用各种材料作为CMOS器件的栅电极和栅极电介质。CMOS器件通常由栅氧化层和多晶硅栅电极形成。期望将栅氧化层和多晶硅栅电极替换为高k栅极电介质和金属栅电极,从而随着部件尺寸的持续减小,能够改进器件性能。
随着技术发展使得尺寸继续减小,例如,对于28纳米(nm)技术节点及以下,金属栅电极的宽度较窄会产生栅极电阻较高的问题。这种栅极电阻较高的问题会影响CMOS器件的电性能。例如,高栅极电阻会降低运行在高频率的射频CMOS(RFCMOS)器件的最大振荡频率(fmax)、噪声、和稳定性。
发明内容
为解决上述问题,本发明提出了一种方法,包括:在基板上方的第一层间电介质(ILD)中形成具有第一宽度的栅极带;在栅极带上方形成具有第二宽度的导电带;在第一ILD和导电带上方形成第二ILD;以及在第二ILD中和导电带上方形成导电插塞。
其中,形成导电带的步骤包括:在栅极带和第一ILD上方形成导电层;以及图案化导电层,以形成导电带。
其中,导电带的材料包括W、Al、Cu、TiN、TaN、TiW、或上述的组合。
其中,导电带的厚度介于大约100埃和大约10,000埃之间的范围内。
其中,栅极带是金属栅极。
其中,第二宽度与第一宽度的比介于大约1和大约6之间。
该方法进一步包括:在栅极带和导电带之间形成电传导结构。
其中,电传导结构是A1和/或金属硅化物。
此外,还提出了一种方法,包括:形成具有第一宽度的栅极带,其中,栅极带位于基板上方的第一层间电介质(ILD)中;在栅极带上方形成图案化层,其中,图案化层具有位于栅极带上方的沟槽开口;在沟槽开口中形成导电带,其中,导电带具有第二宽度;在导电带上方形成第二ILD;以及在第二ILD中和导电带上方形成导电插塞。
其中,形成导电带的步骤包括:将导电材料填充至沟槽开口中和图案化层上方;以及移除图案化层上方的导电材料的一部分。
其中,移除导电材料的一部分的步骤是通过化学机械抛光(CMP)实施的。
其中,图案化层是介电层。
其中,导电带的材料包含W、Al、Cu、TiN、TaN、TiW、或上述的组合。
其中,第二宽度与第一宽度的比介于大约1和大约6之间的范围内。
其中,导电带的厚度介于大约100埃和大约10,000埃之间的范围内。
此外,还提出了一种集成电路结构,包括:第一层间电介质(ILD)层,位于基板上方;栅极带,位于第一ILD层中,其中,栅极带具有第一宽度;第二ILD层,位于栅极带和第一ILD层上方;接触插塞,位于第二ILD层中;以及导电带,介于接触插塞和栅极带之间,其中,导电带具有第二宽度。
该结构进一步包括:第三ILD,围绕导电带并介于第一ILD层和第二ILD层之间。
该结构进一步包括:电传导结构,介于栅极带和导电带之间。
其中,导电带是W、Al、Cu、TiN、TaN、TiW、或上述的组合。
其中,第二宽度与第一宽度的比介于大约1和大约6之间的范围内。
其中,导电带的厚度介于大约100埃和大约10,000埃之间的范围内。
附图说明
在阅读相关附图时,通过以下详细描述可很好地理解本发明。需强调的是,根据工业领域的标准实践,各种部件未按比例绘制且仅用于说明目的。事实上,为了讨论清楚之目的,各部件的尺寸可任意增大或减小。
图1是根据本发明实施例的一种制造集成电路器件的方法的流程图;
图2-图7A是根据图1的方法的在各个制造阶段的集成电路器件的实施例的横截面图以及立体图;
图8是根据本发明的实施例的另一种制造集成电路器件的方法的流程图;
图9-图14是根据图8的方法,在各个制造阶段的集成电路器件的实施例的横截面图。
具体实施方式
应该理解,以下公开提供了多个不同的实施例或示例,以实现本发明的不同特征。下文描述组件和配置的具体示例以简化本发明。当然,这些仅为示例而已,并不旨在进行限定。例如,在以下描述中提供的信息:在第二特征上方或之上形成第一特征,可以包括将第一特征和第二特征形成直接连接的实施例,还可以包括在第一特征和第二特征之间形成附加特征的实施例,这样第一特征和第二特征可以不直接接触。此外,本发明可在各个实施例中重复使用参考标号和/或字母。此重复以简单化和清楚为目的,其本身并不决定所讨论的各个实施例和/或配置之间的关系。
参考图2-图7A,下文中一并描述了,依据的图1中的方法100的各个制造阶段的半导体器件200的实施例的横截面图和立体图。半导体器件200示出了一种集成电路或其一部分,可包括存储单元和/或逻辑电路。半导体器件200可包括无源组件,比如电阻、电容、电感和/或熔断器(fuse);以及有源组件,比如P沟道场效应晶体管(PFETs)、N沟道场效应晶体管(NFETs)、金属氧化物半导体场效应晶体管(MOSFETs)、互补型金属氧化物半导体晶体管(CMOSs)、射频CMOS(RFCMOS)、高电压晶体管、其他合适的元件,和/或其结合。应该理解,作为方法100的附加实施例,方法100的之前、之中和/或之后可具有附加的步骤,并且下文中所述的一些步骤是可替代或可删除的。还应进一步理解,作为半导体器件200的附加实施例,半导体器件200中可添加附加特征,并且下文中所述的一些特征是可替代或可删除的。
参考图1和图2,方法100开始于步骤102,其中,提供了基板100。本实施例中,基板100为包含硅的半导体基板。可选地,基板100包括元素半导体(elementarysemiconductor)包括硅和/或锗晶体;化合物半导体(compound semiconductor)包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体(alloy semiconductor)包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或上述的组合物。合金半导体基板可具有梯度(gradient)SiGe部件,其中,Si和Ge的组分在梯度SiGe部件在一个位置上的比例与另一个位置上的比例不同。合金SiGe可形成在硅基板的上方。SiGe基板可发生应变。此外,半导体基板可以是绝缘体上半导体(SOI)。在一些示例中,半导体基板可包括掺杂外延层(doped epi layer)。在另外的示例中,硅基板可包括多层化合物半导体结构。
基板100可根据设计要求(例如,p型阱或n型阱)包括各种掺杂区。掺杂区可利用诸如硼或BF2的p型掺杂物,诸如磷或砷的n型掺杂物,或其组合物进行掺杂。掺杂区可直接形成在基板100中,P-阱结构中,N-阱结构中,双阱结构中,或使用凸起结构。半导体器件200可包括NFET器件和/或PFET器件,因而,在每个NFET器件和/或PFET器件中,基板100可包括为特定器件配置的各种掺杂区。
继续参考图1,层间电介质(ILD)116(通常称作ILD0),可设置在基板100的上方。ILD层116可包括介电材料,比如氧化物,氮化物,氮氧化合物,低k介电材料,超低k介电材料,极低k介电材料,另一种介电材料,或其组合物。可通过诸如化学气相沉积(CVD)工艺,高密度等离子体(HDP)CVD工艺,高纵横比工艺(HARP),旋转涂布工艺,其他沉积用以,和/或上述的组合工艺,形成ILD层116。在其他实施例中,附加的介电层(未示出)可形成在ILD 116下方或上方。
在实施例中,第一栅极带200a和第二栅极带200b形成在ILD 116中以及基板100的上方。第一栅极带200a可按顺序包括,栅极电介质120和栅电极122。第二栅极带200b可按顺序包括,栅极电介质140和栅电极142。通过沉积所形成的第一栅极带200a和第二栅极带200b的宽度可分别是W1a和W1b。
在实施例中,栅极电介质120和栅极电介质140包括介电材料,比如氧化硅,氮氧化硅,氮化硅,高k介电材料,另一种合适的介电材料,或其组合物。示例性高k介电材料包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO,其他合适的材料,或其组合物。栅极电介质120、栅极电介质140可以是多层结构,例如,包括界面层,以及形成于界面层之上的高k介电材料层。示例性界面层可以是由热工艺或原子层沉积(ALD)工艺形成的生长氧化硅层(grownsilicon oxide layer)。
栅电极122、栅电极142分别形成于栅极电介质120、栅极电介质140上方,可各自包括具有合适的工作功能的导电层。因此,也可将栅电极122、栅电极142称为工作功能层。工作功能层包含任何合适的材料,使得该层可调整为具有合适的工作功能,从而提高相关器件的性能。例如,如果针对PFET器件希望得到p型工作功能金属(p-金属),则可使用TiN或TaN。另一方面,如果针对NEFT器件希望得到n型工作功能金属(n-金属),则可使用Ta、TiAl、TiAlN、或TaCN。工作功能层可包含掺杂的导电氧化物材料。
在实施例中,栅极垫片126、栅极垫片146形成在ILD 116中,并通过合适的工艺,分别覆盖在第一栅极带200a和第二栅极带200b的相对的侧壁上。栅极垫片126、栅极垫片146可包含介电材料,诸如氧化物、氮化物、氮氧化合物、另一中介电材料,或上述的组合物。在另一实施例中,通过合适的工艺,可在栅极带200a、栅极带200b与栅极垫片126、栅极垫片146之间形成衬层(liner,未示出)。衬层可包括不同于栅极垫片126、栅极垫片146材料的合适的介电材料。
在实施例中,电传导结构124和电传导结构144形成在ILD 116中且分别位于栅电极122、栅电极142上方。电传导结构124、电传导结构144每个都可包含导电材料,例如铝、铜、钨、金属合金,金属硅化物,其他合适的材料,或上述的组合物。电传导结构124、电传导结构144可由沉积或化学机械抛光(CMP)形成。
在一些实施例中,公共源极区或公共漏极区111(在下文中称作源极/漏极)可置于基板100中并介于第一栅极带200a和第二栅极带200b之间。源极/漏极区113和115可分别与第一栅极带200a和第二栅极带200b相连接。第一栅极带200a以及源极/漏极区111和113形成第一MOS器件,第二栅极带200b以及源极/漏极区111和115形成第二MOS器件。
参考图1和图3,方法100继续至步骤104,在该步骤中,导电层130形成在ILD层116、第一栅极带200a和第二栅极带200b、以及电传导结构124、电传导结构144的上方。导电层130可以是如铝、铜、钨的金属层;如TiN、TiW、TaN的金属合金层;其他合适的材料;或上述的组合物。在一些实施例中,导电层130的厚度介于大约100埃和大约10,000埃之间的范围内。
参考图1和图4,方法100继续至步骤106,在该步骤中,通过图案化工艺将导电层130图案化,以形成导电带132、导电带134。导电带132位于第一栅极带200a和电传导结构124的上方。导电带134位于第二栅极带200b和电传导结构144的上方。例如,图案化工艺包括通过诸如旋转涂布的合适的工艺,在导电层130上方形成光刻胶(未示出)层,然后,将光刻胶层曝光并显影以形成光刻胶特征。接着,光刻胶部件的图案可转印至下方的导电层130以通过干蚀刻工艺形成导电带132、导电带134。在一些实施例中,导电带132、导电带134可分别具有宽度W3a、W3b。在一个实施例中,宽度W3a、W3b分别大于宽度W1a、W1b。在其他实施例中,宽度W3a与宽度W1a的比和/或宽度W3b与宽度W1b的比的范围介于大约1和6之间。
可选择地,当导电层130使用与电传导结构124、144相同的材料时,可以删除形成导电层130的步骤104。例如,相关工艺流程可包括:在ILD116之中和上方,以及在第一栅极带200a和第二栅极带200b上方沉积A1层;移除ILD 116上方的A1层的部分以形成平坦化的表面;将平坦化的A1层图案化,以形成电传导结构124、电传导结构144以及导电带132、导电带134。图4中所示结构的立体图在图4A中示出。
参考图1和图5,方法100继续至步骤108,在该步骤中,通常称作ILD1的附加ILD150形成在导电带132、导电带134以及ILD 116的上方。附加ILD层150可包含介电材料,比如氧化物、氮化物、氮氧化物、低k介电材料、超低k介电材料、极低k介电材料、另一种介电材料、或上述的组合物。可通过诸如CVD工艺、HDP CVD工艺、HARP、旋转涂布工艺、另一种沉积工艺、和/或上述的任意组合工艺,形成附加ILD层150。在实施例中,附加ILD层150可包含与ILD116所使用的材料相同的材料。
参考图1和图6,方法100继续至步骤110、步骤112,在该步骤中,通过通常所使用的蚀刻工艺在附加ILD 150和/或ILD 116中形成接触开口(未示出)。在实施例中,在导电带132、导电带134以及公共源极/漏极区111的上方形成至少三个接触开口。随后,可将导电层(未示出)填充至接触开口之中以及附加ILD 150上方。然后,可提供CMP工艺将附加ILD150上方的导电层部分完全移除,以在附加ILD 150和/或ILD 116中形成接触插塞160。
参考图1和图7,方法100继续至步骤114,该步骤中,通常称作M1的金属线170形成在接触插塞160的上方。图7中所示结构的立体图在图7A中示出。
参考图9-图14,下文中一并描述了在图8所示的方法300的各个制造阶段期间的半导体器件400的实施例的多个示意性横截面图。半导体器件400示出集成电路或其一部分,可包括存储单元和/或逻辑电路。半导体器件400可包括无源组件,如电阻、电容、电感和/或熔断器;以及有源组件,如P沟道场效应晶体管(PFETs)、N沟道场效应晶体管(NFETs)、金属氧化物半导体场效应晶体管(MOSFETs)、互补型金属氧化物半导体晶体管(CMOSs)、射频CMOS(RFCMOS)、高电压晶体管、其他合适的元件、和/或上述的组合物。应该理解,作为方法300的附加实施例,在方法300的之前、之中和/或之后可具有附加的步骤,并且下文中所述的一些步骤是可替代或可删除的。可以进一步理解,作为半导体器件400的附加实施例,半导体器件400中可添加附加部件,并且下文中所述的一些部件是可替代或可删除的。
参考图8和图9,方法300开始于步骤302,其中,半导体器件400的结构具有与图1中的相同的元件,这些元件在半导体器件400的参考标号比图2中的参考标号增加了100。在实施例中,ILD层216置于基板200上方。在实施例中,在栅极电介质220上方具有栅电极222的第一栅极带300a,以及在栅极电介质240上方具有栅电极242的第二栅极带300b,置于ILD216中。第一栅极带300a和第二栅极带300b分别具有宽度W2a、宽度W2b。在实施例中,公共源极/漏极211位于基板200中并介于第一栅极带200a和第二栅极带200b之间。源极/漏极区213和源极/漏极区215可分别与第一栅极带200a和第二栅极带200b连接。在实施例中,栅极垫片226、栅极垫片246置于ILD 216中,并分别覆盖于第一栅极带300a和第二栅极带300b的相对的侧壁上。在实施例中,电传导结构224、电传导结构244分别置于ILD 216中且位于栅电极222、栅电极242上方。
参考图8和图10,方法300继续至步骤304,在该步骤中,图案化层218形成在ILD216上方。在一个实施例中,图案化层218为介电层。在另一实施例中,图案化层218包含与用于ILD 216的材料相同的材料。在实施例中,图案化层218在第一栅极带300a上方具有沟槽开口218a,以及在第二栅极带300b上方具有沟槽开口218b。沟槽开口218a、沟槽开口218b分别具有宽度W4a、宽度W4b。在实施例中,图案化层218的厚度介于大约100埃和大约10,000埃之间的范围内。
参考图8和图11,方法300继续至步骤306,在该步骤中,导电层230形成在沟槽开口218a、沟槽开口218b之中以及图案化层218上方。导电层230可以是诸如铝、铜、钨的金属层;诸如TiN、TiW、TaN的金属合金层;其他合适的材料;或上述的组合物。
参考图8和图12,方法300继续至步骤308,在该步骤中,将图案化层218上方的导电层230的部分移除,以形成导电带232、导电带234。导电带232位于电传导结构224以及第一栅极带300a的上方,且具有宽度W4a。导电带234位于电传导结构244以及第二栅极带300b的上方,且具有宽度W4b。在实施例中,移除工艺包括CMP工艺,因此,导电带232、导电带234具有与图案化层218的表面基本共面的平坦化的表面。
参考图8和13,方法300继续至步骤310-314,在这些步骤中,附加ILD 250形成于导电带232、导电带234以及图案化层218的上方。附加ILD层250可包含介电材料,诸如氧化物、氮化物、氮氧化物、低k介电材料、超低k介电材料、极低k介电材料、另一种介电材料、或上述的组合物。在实施例中,附加ILD层250可包含与用于ILD 216的材料相同的材料。之后,接触开口(未示出)通过蚀刻工艺形成在附加ILD 250、图案化层218、和/或ILD 216之中。在实施例中,在导电带232、导电带234以及公共源极/漏极211上方形成至少三个接触开口。之后,可将导电层(未示出)填充至接触开口之中以及附加ILD 250上方,然后,可利用CMP工艺将附加ILD250上方的导电层部分完全移除,以在附加ILD 250、图案化层218、和/或ILD216中形成接触插塞260。
参考图8和图14,方法300继续至步骤316中,在该步骤中,金属线270形成于接触插塞260上方。图14中所示结构的立体图与图7A中所示一致。
本发明的实施例具有许多优化的部件。通过形成具有足够厚度且平行于栅极带的导电带,降低了总体栅极阻抗。因此,提高了CMOS器件的电性能。这样特别有益于采用后栅极(gate-last)方式形成的RFCMOS器件,这是因为高栅极阻抗可能会在器件运行于高频时,引起最高振荡频率(fmax)、噪音、以及稳定性降级。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、
制造、材料组分、装置、方法或步骤的范围内。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (20)

1.一种方法,包括:
在基板上方的第一层间电介质(ILD)中形成栅极带,其中,所述栅极带包括栅极垫片,并且所述栅极带具有沿平行于所述第一ILD的顶面的第一方向测量的第一宽度;
形成导电带,所述导电带具有沿所述第一方向测量的第二宽度并与所述栅极带直接接触,其中,所述第二宽度大于所述第一宽度,并且形成所述导电带包括将所述导电带的最底部表面形成在所述第一ILD的顶面上方;
在所述第一ILD和所述导电带上方形成第二ILD;以及
在所述第二ILD中和所述导电带上方形成导电插塞。
2.根据权利要求1所述的方法,其中,所述导电带的材料包括W、Al、Cu、TiN、TaN、TiW、或上述的组合。
3.根据权利要求1所述的方法,其中,所述导电带的厚度介于大约100埃和大约10,000埃之间的范围内。
4.根据权利要求1所述的方法,其中,所述栅极带包括金属栅极。
5.根据权利要求1所述的方法,进一步包括:
在所述栅极带和所述导电带之间形成电传导结构。
6.根据权利要求5所述的方法,其中,所述电传导结构是A1和/或金属硅化物。
7.根据权利要求1所述的方法,其中,形成所述导电带包括形成具有平行于所述栅极带的纵向轴线的纵向轴线的所述导电带。
8.一种方法,包括:
形成具有第一宽度的栅极带,其中,所述栅极带包括垫片,并且所述栅极带位于基板上方的第一层间电介质(ILD)中;
在所述栅极带上方形成图案化层,其中,所述图案化层具有位于所述栅极带上方的沟槽开口;
在所述沟槽开口中形成导电带,其中,所述导电带的整体具有第二宽度,其中,所述第二宽度沿着垂直于所述基板的顶面的方向是不变的,所述导电带与所述栅极带直接接触,并且所述导电带沿着所述垫片的顶面延伸;
在所述导电带上方形成第二ILD;以及
在所述第二ILD中和所述导电带上方形成导电插塞。
9.根据权利要求8所述的方法,其中,形成导电带的步骤包括:
将导电材料填充至所述沟槽开口中和所述图案化层上方;以及
移除所述图案化层上方的所述导电材料的一部分。
10.根据权利要求9所述的方法,其中,移除导电材料的一部分的步骤是通过化学机械抛光(CMP)实施的。
11.根据权利要求8所述的方法,其中,所述图案化层是介电层。
12.根据权利要求8所述的方法,其中,所述导电带的材料包含W、Al、Cu、TiN、TaN、TiW、或上述的组合。
13.根据权利要求8所述的方法,其中,所述第二宽度与所述第一宽度的比介于大约1和大约6之间。
14.根据权利要求8所述的方法,其中,形成所述导电带包括形成具有平行于所述栅极带的纵向轴线的纵向轴线的所述导电带。
15.一种方法,包括:
在基板上方的第一层间电介质(ILD)中形成第一栅极带,其中,所述第一栅极带包括垫片并且具有沿第一方向的第一宽度以及沿垂直于所述第一方向的第二方向延伸的纵向轴线;
形成在所述第一ILD中具有第二宽度的第二栅极带,其中,所述第二栅极带包括垫片并且所述第二宽度在所述第一方向中;
形成与所述第一栅极带直接接触的第一导电带,其中,所述第一导电带具有在所述第一方向中的第三宽度,所述第三宽度大于所述第一宽度,以及平行于所述第一栅极带的纵向轴线延伸的纵向轴线;
形成与所述第二栅极带直接接触的第二导电带,其中,所述第二导电带具有在所述第一方向中的第四宽度,所述第四宽度大于所述第二宽度,并且所述第二宽度与所述第四宽度的比沿着垂直于所述基板的顶面的方向是不变的,其中,沿所述第一方向测量的所述第一导电带和所述第二导电带之间的距离小于沿所述第一方向测量的所述第一栅极带和所述第二栅极带之间的距离;以及
在所述第一ILD、所述第一导电带和所述第二导电带上方形成第二ILD。
16.根据权利要求15所述的方法,其中,形成所述第一导电带包括形成具有厚度介于大约100埃和大约10,000埃之间的范围内的所述第一导电带,并且形成所述第二导电带包括形成具有厚度介于大约100埃和大约10,000埃之间的范围内的所述第二导电带。
17.根据权利要求15所述的方法,其中,所述第三宽度与所述第一宽度的比介于大约1和大约6之间,并且所述第四宽度与所述第二宽度的比介于大约1和大约6之间。
18.根据权利要求15所述的方法,其中,形成所述第一导电带包括形成所述第一导电带的材料包含W、Al、Cu、TiN、TaN、TiW、或上述的组合,并且形成所述第二导电带包括形成所述第二导电带的材料包含W、Al、Cu、TiN、TaN、TiW、或上述的组合。
19.根据权利要求15所述的方法,其中,形成所述第二ILD包括形成具有与所述第一ILD材料相同的所述第二ILD。
20.根据权利要求15所述的方法,进一步包括在所述第一栅极带和所述第二栅极带上方形成图案化层,其中,所述图案化层具有在所述第一栅极带上方的第一沟槽开口以及在所述第二栅极带上方的第二沟槽开口,
其中,形成所述第一导电带包括在所述第一沟槽开口中形成所述第一导电带,并且形成所述第二导电带包括在所述第二沟槽开口中形成所述第二导电带。
CN201611084056.5A 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法 Pending CN106887402A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/948,184 2010-11-17
US12/948,184 US9620421B2 (en) 2010-11-17 2010-11-17 Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
CN2011102416018A CN102468180A (zh) 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2011102416018A Division CN102468180A (zh) 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法

Publications (1)

Publication Number Publication Date
CN106887402A true CN106887402A (zh) 2017-06-23

Family

ID=46047025

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011102416018A Pending CN102468180A (zh) 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法
CN201611084056.5A Pending CN106887402A (zh) 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2011102416018A Pending CN102468180A (zh) 2010-11-17 2011-08-19 金属栅极晶体管、集成电路、系统及其制造方法

Country Status (3)

Country Link
US (2) US9620421B2 (zh)
CN (2) CN102468180A (zh)
TW (1) TWI463603B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
CN102779852B (zh) * 2012-07-18 2014-09-10 电子科技大学 一种具有复合栅介质结构的SiC VDMOS器件
US8835244B2 (en) * 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
US9153483B2 (en) 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10937785B2 (en) * 2016-01-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154509A (ja) * 1997-07-31 1999-02-26 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
US20040018673A1 (en) * 2001-08-20 2004-01-29 Taiwan Semiconductor Manufacturing Company High fMAX deep submicron MOSFET
US20080191352A1 (en) * 2007-02-13 2008-08-14 Chen-Hua Yu Stacked contact with low aspect ratio
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5949092A (en) * 1997-08-01 1999-09-07 Advanced Micro Devices, Inc. Ultra-high-density pass gate using dual stacked transistors having a gate structure with planarized upper surface in relation to interlayer insulator
US5935766A (en) * 1997-08-07 1999-08-10 Advanced Micro Devices, Inc. Method of forming a conductive plug in an interlevel dielectric
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6300201B1 (en) 2000-03-13 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Method to form a high K dielectric gate insulator layer, a metal gate structure, and self-aligned channel regions, post source/drain formation
US6465294B1 (en) 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US7485963B2 (en) * 2004-07-28 2009-02-03 Texas Instruments Incorporated Use of supercritical fluid for low effective dielectric constant metallization

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154509A (ja) * 1997-07-31 1999-02-26 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6376351B1 (en) * 2001-06-28 2002-04-23 Taiwan Semiconductor Manufacturing Company High Fmax RF MOSFET with embedded stack gate
US20040018673A1 (en) * 2001-08-20 2004-01-29 Taiwan Semiconductor Manufacturing Company High fMAX deep submicron MOSFET
US20080191352A1 (en) * 2007-02-13 2008-08-14 Chen-Hua Yu Stacked contact with low aspect ratio
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法

Also Published As

Publication number Publication date
US10068836B2 (en) 2018-09-04
CN102468180A (zh) 2012-05-23
US20170213780A1 (en) 2017-07-27
US20120119306A1 (en) 2012-05-17
US9620421B2 (en) 2017-04-11
TW201222727A (en) 2012-06-01
TWI463603B (zh) 2014-12-01

Similar Documents

Publication Publication Date Title
CN111755443B (zh) 集成电路单元及包括该集成电路单元的电子设备
US9923079B2 (en) Composite dummy gate with conformal polysilicon layer for FinFET device
US7566599B2 (en) High performance FET with elevated source/drain region
JP5661445B2 (ja) 半導体集積回路装置およびその製造方法
US20180090497A1 (en) Multi-threshold voltage field effect transistor and manufacturing method thereof
CN103165674B (zh) 具有多阈值电压的FinFET
US11929328B2 (en) Conductive contact having barrier layers with different depths
CN107046061A (zh) 半导体结构和相关制造方法
US20060170044A1 (en) One-transistor random access memory technology integrated with silicon-on-insulator process
US10068836B2 (en) Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US11355400B2 (en) Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US9799569B2 (en) Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
US11728348B2 (en) Vertically stacked field effect transistors
US20230260848A1 (en) Semiconductor device and manufacturing method thereof
CN113471147A (zh) 制造半导体器件的方法和静电放电器件
CN108574006B (zh) 具有t形栅极电极的场效应晶体管
KR102526325B1 (ko) 최적화된 게이트 스페이서 및 게이트 단부 유전체를 갖는 게이트 올 어라운드 디바이스
US20150145046A1 (en) Semiconductor structure and method for manufacturing the same
CN107785281A (zh) 半导体器件及其制造方法
US9761494B2 (en) Semiconductor structure and method of forming the same
US11398548B2 (en) Semiconductor device
CN109427895A (zh) 应变损失缓解方法及其结构
JP2023051808A (ja) 半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め)
CN114649331A (zh) 半导体结构及其形成方法
CN115602727A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20170623