JP2023051808A - 半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め) - Google Patents

半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め) Download PDF

Info

Publication number
JP2023051808A
JP2023051808A JP2022151893A JP2022151893A JP2023051808A JP 2023051808 A JP2023051808 A JP 2023051808A JP 2022151893 A JP2022151893 A JP 2022151893A JP 2022151893 A JP2022151893 A JP 2022151893A JP 2023051808 A JP2023051808 A JP 2023051808A
Authority
JP
Japan
Prior art keywords
semiconductor structure
contact
source
liner
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022151893A
Other languages
English (en)
Inventor
ルイロン シエ
Ruilong Xie
インディラ セシャドリ
Seshadri Indira
エリック ミラー
Miller Eric
カングオ チェン
Kangguo Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023051808A publication Critical patent/JP2023051808A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】サイズが拡大されたS/Dコンタクトは、セル境界において、コンタクトの先端同士のスペース狭くすることがあり、このことは、リソグラフィの不整合誤差を伴って、コンタクトの先端同士の短絡を引き起こす。【解決手段】本明細書で開示される実施形態は、コンタクト同士の短絡を減らす半導体構造を含む。前記半導体構造は、ライナと、前記ライナの第1の側面および前記ライナの第2の側面内に閉じ込められた誘電体コアとを有するゲートカット領域を備え得る。また、前記半導体構造は、前記第1の側面および前記誘電体コアに重なっている第1のソース/ドレイン(S/D)コンタクトを備え得る。前記第1のS/Dは、前記ライナの前記第2の側面に接触しているライン端を有し得る。【選択図】図7D

Description

本発明は、一般には、半導体素子製造の分野に関し、より詳細には、ソース/ドレイン・コンタクトについて、ばらつきがなく正確な位置を伴う半導体構造の製造に関する。
高度化された集積回路の製造は、一般に、チップエリア内に多くの回路素子を形成することを含む。金属酸化物電界効果トランジスタ(MOSFETまたはFET)は、これらの高度化された集積回路において広く普及している1つの重要なタイプの回路素子の典型である。FETは、ソース/ドレイン領域と、ソース領域とドレイン領域との間に位置決めされるチャネル領域と、チャネル領域の上方に位置決めされるゲート電極とを一般に含む素子である。半導体ナノシートは、半導体素子内でのゲート長を減らすための実現可能な選択肢とみなされている。垂直方向に積み重ねられた半導体ナノシートにより、面積効率をもたらし、所与のレイアウト内で増大された駆動電流を提供することが可能になる。
サイズが拡大されたS/Dコンタクトは、セル境界において、コンタクトの先端同士のスペース狭くすることがあり、このことは、リソグラフィの不整合誤差を伴って、コンタクトの先端同士の短絡を引き起こす。
本発明の一実施形態の側面は、コンタクト同士の短絡を減らす半導体構造を含む。前記半導体構造は、ライナと、前記ライナの第1の側面および前記ライナの第2の側面内に閉じ込められた誘電体コアとを有するゲートカット領域を備え得る。また、前記半導体構造は、前記第1の側面および前記誘電体コアに重なっている第1のソース/ドレイン(S/D)コンタクトを備え得る。前記第1のS/Dは、前記ライナの前記第2の側面に接触しているライン端を有し得る。
本発明の一実施形態の側面は、半導体構造を製造する方法を含む。本方法は、ゲートカットを形成する段階と、第1の側面および第2の側面を有するライナで前記ゲートカットをライニングする段階と、前記第1の側面と前記第2の側面との間に誘電体コアを形成する段階と、前記第1の側面に、前記誘電体コアに少なくとも部分的に入るようにソース/ドレイン・コンタクト領域を切り込む段階と、前記第2の側面で前記ライナからクリーンに前記誘電体コアを取り除くように、前記誘電体コアを選択的にエッチングする段階と、前記ソース/ドレイン・コンタクト領域内にソース/ドレイン・コンタクトを形成する段階であって、前記第2の側面にライン端が接触する、形成する段階とを備え得る。
本発明の一実施形態の態様は、第1のソース/ドレイン(S/D)コンタクトが、第1のソース/ドレイン(S/D)と電力レールとの間で電気的に接続されている、半導体構造を含む。また、前記半導体構造は、第2のS/Dと信号レールとの間で電気的に接続された第2のS/Dコンタクトと、前記第1のS/Dコンタクトと前記第2のS/Dコンタクトとの間のゲートカット領域とを含み得る。前記ゲートカット領域は、ライナと誘電体コアとを含み得る。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の一製造ステージでの半導体構造100を示す図である。 図1A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の一製造ステージでの半導体構造100を示す図である。 図1A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の一製造ステージでの半導体構造100を示す図である。 図1A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の一製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図2A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図2A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図2A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図3A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図3A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図3A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図4A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図4A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図4A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図5A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図5A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図5A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図6A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図6A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図6A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図7A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図7A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図7A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
半導体構造100の上面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図8A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図8A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。 図8A内に示される位置における断面側面図を示し、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す図である。
以下の詳細な説明では、添付の図面が参照されており、それらの図面は、本発明の実施形態の具体的な例を示している。これらの実施形態は、当業者がそれらを実施することが可能になるように十分に詳細に説明されており、他の実施形態を利用することもできること、説明される実施形態から逸脱することなく、構造的変更、論理的変更、および、電気的変更を加えることもできることを理解されたい。したがって、以下の詳細な説明は、限定を行う意味で解釈されるべきではなく、含まれる実施形態は、添付の特許請求の範囲により規定される。
トランジスタおよび集積回路の製造については、主要面とは、半導体層の面であって、その中および近くに複数のトランジスタが、例えば、プレーナプロセスにおいて製造される、面を指す。本明細書では、垂直方向を含意する「above(の上方)」、「below(の下方)」、「under(の下)」および「over(の上)」などの語は、主要面に対して実質的に直交することを意味し、水平方向を含意する「laterally(横方向に)」、「adjacent(に隣接)」および「beside(と並んで)」などの語は、主要面に対して実質的に平行であることを意味する。一般に、主要面は、単結晶シリコン層の平面であって、その上にトランジスタ素子が製造される平面に沿う。
セルの高さおよびバックエンド(BEOL)でのメタルピッチのスケールが小さくなるほど、素子から電力レールへの配線ソース/ドレインのエピが困難になる。サイズが拡大されたソース/ドレイン(S/D)コンタクトは、電力レールの下で、ビアからS/Dコンタクトへの強固な接続が形成されることが必要である。しかし、サイズが拡大されたS/Dコンタクトは、セル境界において、コンタクトの先端同士のスペース狭くすることがあり、このことは、リソグラフィの不整合誤差を伴って、コンタクトの先端同士の短絡を引き起こす。本発明は、コンタクトの先端同士の短絡がなく、S/Dコンタクトからビアへの良好な接続を確実にするように、電力レール領域(セル境界における領域)の下でS/Dコンタクト位置を正確に制御するための独特な方法および構造を教示する。
トランジスタ素子の設計の改善により、フィーチャサイズがディープサブミクロンおよびナノメートルのレジームに入ることが可能になっている。しかし、このようにフィーチャサイズがより小さくなることで、通常であればささいな問題が、トランジスタ素子の動作に、より有害な効果を与えるようになり得る。例えば、ソース/ドレイン(S/D)コンタクトなどの半導体コンポーネントの寸法が、半導体素子の設計および製造において完全には整合されていない場合は、短絡(すなわち、意図されない電気の流れ)が生じ得、ビアとの接合が弱くなり得る。このことは、電力レールビアに接続しているS/Dコンタクトにとって特に困難な問題になり得るが、これは、信号ビア同士の近さよりも、電力レールビアが、隣接する信号ビアの近くに位置し得るからである。したがって、本明細書で開示される実施形態は、ライナおよび誘電体コアを有するゲートカット領域であって、S/Dコンタクトの形成中の自己整合、および、電力レールに接続されるS/Dコンタクトの動作中の絶縁を行うゲートカット領域を提供する。
ここで図面を参照すると、図1A、図1B、図1C、および図1Dは、本発明の1つの実施形態による、加工方法の一製造ステージでの半導体構造100を示す。図示の実施形態は、半導体構造100をナノシート素子として示しているが、特定の実施形態では、下記で説明される半導体構造100が、FinFET、ナノワイヤ、または、プラーナトランジスタなどを含めた様々なタイプのトランジスタ内でソース/ドレインと電力レールとを接続するように使用され得る。図1Aは、半導体構造100の上面図を示し、図1B、図1C、および図1Dは、図1A内に示される位置における断面側面図を示す。つまり、図1BはラインB-Bにおける図であり、図1CはラインC-Cにおける図であり、図1DはラインD-Dにおける図である。図1Aは全てのフィーチャを示してはいないが、主に、アクティブナノシート102の行と、高k金属ゲート(HKMG)104構造の列との格子状パターンを示すために含まれている。
側面図(1B、1C、1D)に示されるように、ナノシート102とHKMG104とは、基板108上で垂直方向の層スタック106として交互になって連続して形成される。スタック106は、x方向110では、ソース/ドレイン(S/D)114により互いに分割され、y方向112では、シャロートレンチアイソレーション(STI)116により互いに分割される。また、スタック106は、ナノシート102を互いから絶縁し、S/D114をHKMG104から絶縁するための内部スペーサ118を含む。さらに、S/D114の上方の領域では、半導体構造100は、導電構造と半導電構造とを分離するための層間絶縁膜(ILD)120およびスペーサ122を含む。ナノシート102、HKMG104、S/D114およびスペーサ118、122は、周知の堆積方法、パターニング方法、およびエッチング方法で形成することができる。例えば、ナノシート102は、エピタキシャル成長の後に、ナノシートのパターニングプロセスを使用することで形成することができ、S/D114は、インサイチュのドープエピタキシャル成長により、または、イオン注入により形成することができる。S/D114は、n型ドープまたはp型ドープされ得る(すなわち、PFETソース/ドレインまたはNFETソース/ドレイン)。
基板108は、シャロートレンチアイソレーション(STI)116を有する単結晶シリコン構造を備えることができる。あるいは、基板108は、STIが必要とされない、セミコンダクタオンインシュレータ(SOI)基板を有する出発ウェハを備えてもよい。ナノシート102は、シリコン(Si)などの半導体材料によって構成されてもよい。HKMG104は、酸化ハフニウムなど、シリコン酸化物(SiOx)よりも相対的に高い誘電定数を有する材料を含み得る。ナノシート102およびHKMG104の層の数は、代表的な実施形態に示される数と異なっていてもよい(より多くの層でも、より少ない層でもよい)。
図2A、図2B、図2C、および図2Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図2Aは、半導体構造100の上面図を示し、図2B、図2C、および図2Dは、図2A内に示される位置における断面側面図を示す。これらの図面は、反応性イオンエッチング(RIE)を使用して形成することができるゲートカット130を示している。セル境界(すなわち、NFETとNFETとの間またはPFETとPFETとの間)に位置するゲートカット130は、スタック106の間でエッチングされるので、ナノシート102、内部スペーサ118、および、S/D114は影響されない。しかし、ゲートカット130は、スタック106の外側でHKMG104に切り込まれ、また、ILD120、スペーサ122に切り込まれ、任意選択で、STI116に入るか、または、それを貫通して基板108に達する。ゲートカット130は、約25から70ナノメートルであり得、または、一般に、y方向112では約30ナノメートルであるが、x方向では、ゲートカット130は、場合によっては、半導体構造100のHKMG104の列の全てを貫通して延びてもよい。
また、半導体構造100は、y方向112により狭くなっており(例えば、10から22nm、または、約18ナノメートル)、x方向110に著しくより短くなった狭ゲートカット132を含む。具体的には、図2Aに示されるように、狭ゲートカット132は、相補型金属酸化物半導体(CMOS)セル内(NFETとPFETとの間)に位置し、それは、ゲートカット130によりエッチングされる長いトレンチライン(またはHKMG104の行の全て)を形成するのではなく、HKMG104の1つの行または少しの行のみをエッチングする。ゲートカット132および130は、任意の周知のリソグラフィおよびエッチングのプロセスで共に形成することが可能である。
図3A、図3B、図3C、および図3Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図3Aは、半導体構造100の上面図を示し、図3B、図3C、および図3Dは、図3A内に示される位置における断面側面図を示す。これらの図面は、ゲートカット130をライニングするライナ134を示している。ライナ134は、ブランケット堆積プロセスを使用して形成され、半導体構造100の露出面がライナ134を用いてライニングされる。これらの露出面には、半導体構造100、ゲートカット130、および、狭ゲートカット132の頂面140が含まれる。ライナ134は、ゲートカット130内に第1の側面136および第2の側面138を含む。ただし、狭ゲートカット132内では、側面からのライナ134が接続するので、狭ゲートカット132はライナ134の材料で完全に充填される。第1のライナの材料は、ILD層120とは異なる。例えば、ライナ134は、SiN、SiOC、SiC、SiBCN、SiOCN、AlOx、AlNxなどを含み得る。ライナ134の厚さの範囲は、5nmから15nmである。
図4A、図4B、図4C、および図4Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図4Aは、半導体構造100の上面図を示し、図4B、図4C、および図4Dは、図4A内に示される位置における断面側面図を示す。これらの図面は、ゲートカット130内で、第1の側面136と第2の側面138との間に閉じ込められた誘電体コア142を示している。誘電体コア142は、誘電体堆積の後にエッチバックまたは化学機械平坦化(CMP)を行ったもののブランケット構造として形成され得る。HKMG104およびILD120を含めた頂面140が露出されるように、ライナ134を平坦化することもできる。ライナ材料は、SiO2など、ILD120と同じまたは類似する材料であってもよい。ライナ134と誘電体コア142とは共同で、第2のスタック106bから第1のスタック106aを絶縁し、下記で詳細に説明するように、第1のスタック106aを有する素子と電力レールとの間での正確なS/Dコンタクト位置を可能にするゲートカット領域144を形成する。
図5A、図5B、図5C、および図5Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図5Aは、半導体構造100の上面図を示し、図5B、図5C、および図5Dは、図5A内に示される位置における断面側面図を示す。これらの図面は、更なるILD120堆積の後に、ソース/ドレイン(S/D)コンタクト領域146がS/D114の上にエッチングされ、最終的には、S/Dコンタクト領域146が、ビアを使用して、S/D114をより上位レベルの回路に電気的に接続することになる様を示している。第1のS/Dコンタクト領域146aが、ゲートカット領域144の誘電体コア142に少なくとも部分的に入るように、第1の側面136に切り込まれる。S/Dコンタクト領域146は、ILD120の酸化物およびライナ134をエッチングするRIEなどの非選択的エッチングプロセスを使用して形成すること/切り込むことができる。
図6A、図6B、図6C、および図6Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図6Aは、半導体構造100の上面図を示し、図6B、図6C、および図6Dは、図6A内に示される位置における断面側面図を示す。これらの図面は、ライナ134に関する誘電体コア142の選択的等方性エッチングを示している。本明細書では、材料除去プロセス(例えば、エッチング)に関する「選択的」という表現は、エッチャントが適切に選択された場合、対象とされた材料についての材料除去速度(すなわちエッチング速度)が、材料除去プロセスにさらされる少なくとも他の材料についての除去速度よりも高いことを示す。誘電体コア142を選択的にエッチングするために使用されるエッチングプロセスは、DHFまたはSiConiを含み得、これらは、SiNで作られ得るライナ134をエッチングしない。したがって、S/Dコンタクト領域146の周囲を囲むクリーンアップ領域148では、ライナ134、スペーサ118、および、S/D114が、誘電体コア142またはILD120からの覆いがない状態で露出されるまで、誘電体コア142が取り除かれる。このライナ134上での選択的エッチング停止は、S/Dコンタクト領域146の初めの部分は、そこまで正確である必要はないことを意味するが、これは、初めのS/Dコンタクト146の切込みが誘電体コア142を含む限り、S/Dコンタクト領域146が、横方向の誘電体エッチングによりライナ134まで正確に拡張されることになるからである。この選択的エッチングは、S/Dコンタクト領域の横方向の端部が、常にライナ134の第2の側面138で終わることになることを意味する。さらに、図6Dに示されるように、クリーンアップ領域148の底端部は、第1の側面136の頂端部よりも低い誘電体コア142およびILD120内にエッチングされる。
図7A、図7B、図7C、および図7Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図7Aは、半導体構造100の上面図を示し、図7B、図7C、および図7Dは、図7A内に示される位置における断面側面図を示す。これらの図面は、S/Dコンタクト領域146内に形成されたソース/ドレイン(S/D)コンタクト150を示している。S/Dコンタクト150は、ライナ134の第2の側面138に接触するライン端152を有する第1のS/Dコンタクト150aを備える。第1のS/Dコンタクト150aの底端部が第1の側面136の頂端部よりも低くなるように、誘電体コア142およびILD120内に第1のS/Dコンタクト150aが形成される。したがって、第1のS/Dコンタクト150aは、第1の側面136および誘電体コア142と横方向で重なる。また、半導体構造100は、ライナ134の、第1のS/Dコンタクト150aとは反対側に位置する第2のS/Dコンタクト150bを含む。コンタクトの金属には、シリサイドライナ(例えば、Ti、Ni、NiPt)、TiNなどの粘着金属ライナ、および、Co、W、Ruなどの導電性金属充填剤が含まれ得る。金属堆積の後、ゲートの頂面の上にある余分な金属およびILDを取り除くために化学機械平坦化(CMP)プロセスが行われる。
図8A、図8B、図8C、および図8Dは、本発明の1つの実施形態による、加工方法の次の製造ステージでの半導体構造100を示す。図8Aは、半導体構造100の上面図を示し、図8B、図8C、および図8Dは、図8A内に示される位置における断面側面図を示す。これらの図面は、S/Dコンタクト150を半導体構造100の次の金属レベル(図示せず)に接続するビアレベル160および第1の金属レベル170を示している。ビアレベル160は、(i)S/Dコンタクト150と第1の金属レベル170内の信号レール172との間で結合された信号ビア162と、(ii)第1のS/Dコンタクト150aと第1の金属レベル170内の電力レール174との間で結合された電力レールビア164とを含む。ビア162、164およびレール172、174を金属層誘電体180が囲み、これにより、ビア162、164およびレール172、174が、絶縁された状態に保たれる。金属層誘電体180、ビア162、164、および、レール172、174は、周知の堆積技術、パターニング技術、およびエッチング技術を使用して形成され得る。例えば、金属層誘電体180を形成し、次いで、ビア162、164のための孔を形成し、金属などの導電材料で充填することができる。レール172、174を形成するために、同様の段階を採用してもよい。あるいは、まずビアおよび金属ラインの両方を形成し、次いで、Cuデュアルダマシンプロセスを使用して、メタライゼーションをまとめて形成することも可能である。
開示された実施形態の利点には、第1のS/Dコンタクト150a、具体的には、ライン端152の位置の正確な位置決めが含まれる。すなわち、第1のS/Dコンタクト150aが電力レールビア164との強固な電気的接続を有するが、近すぎることで第2のS/Dコンタクト150bに短絡しないように、ライン端152が位置決めされる。ライナ134は、コンタクト150aとコンタクト150bとの間で最小限の絶縁体を画定することもできるので、ライナ134の材料または厚さを慎重に選択することで、短絡、故障、または、漏れなどの障害を阻止することが可能である。ライナ134がない実施形態では、リソグラフィの不整合に起因して、ライン端152がずれて、第2のS/Dコンタクト150bに近くなりすぎて、短絡が起こることがあり、または、ライン端152がずれて、第2のS/Dコンタクト150bから離れすぎて、電力レールビア164が、第1のS/Dコンタクト150aの一部分にのみ接続されることがある。
先で説明された方法は、集積回路チップの製造において使用される。結果として得られる集積回路チップは、ベアダイとして生ウェハの形態で(例えば、複数のパッケージ化されていないチップを有する単一のウェハとして)、または、パッケージ化された形態で製造者により分配され得る。後者のケースでは、チップは、単一のチップパッケージ(例えば、プラスチック製保持具であって、リードがマザーボードまたは他のより高レベルの保持具に固定されるプラスチック製保持具)内、あるいは、マルチチップパッケージ(例えば、表面相互接続もしくは埋込み相互接続、または、それらの両方を有するセラミック製保持具)内に搭載される。いずれのケースでも、チップは、中間製品または最終製品の一部分として、他のチップ、個別の回路素子、もしくは他の信号処理デバイス、または、その組合せと統合することができる。
本発明の様々な実施形態の説明は、例示目的で提示されてきたが、包括的になること、または開示された実施形態に限定されることが意図されるものではない。当業者には、説明された実施形態の範囲および趣旨から逸脱しない、多くの修正および変形が明らかとなるであろう。本明細書において使用される専門用語は、実施形態の原理、市場で見られる技術の実用的な適用またはそれに対する技術的改善を最適に説明し、あるいは、本明細書において開示される実施形態を他の当業者が理解することを可能にするように選択された。

Claims (20)

  1. コンタクト同士の短絡を減らす半導体構造であって、
    ゲートカット領域であって、
    ライナ、および
    前記ライナの第1の側面および前記ライナの第2の側面内に閉じ込められた誘電体コア
    を有するゲートカット領域と、
    前記第1の側面および前記誘電体コアに重なっている第1のソース/ドレイン(S/D)コンタクトであって、前記第1のS/Dコンタクトが、前記ライナの前記第2の側面に接触しているライン端を有する、第1のソース/ドレイン(S/D)コンタクトと
    を備える半導体構造。
  2. 前記第1のS/Dコンタクトが、第1のソース/ドレインと電力レールビアとの間で電気的に接続されている、請求項1に記載の半導体構造。
  3. 前記第1のソース/ドレインが、PFETおよびNFETからなる群から選択されたものを含む、請求項2に記載の半導体構造。
  4. 前記ライナが、希釈フッ化水素酸(DHF)に抗するエッチング選択性のライナ材料を含み、前記誘電体コアが、DHFによりエッチングされるコア材料を含む、請求項1から3のいずれか一項に記載の半導体構造。
  5. 第2のソース/ドレインと信号レールとの間で電気的に接続された第2のソース/ドレイン・コンタクトであって、前記第2のソース/ドレイン・コンタクトが、前記ライナの、前記第1のソース/ドレイン・コンタクトとは反対側に位置している、第2のソース/ドレイン・コンタクトを備える、請求項1から3のいずれか一項に記載の半導体構造。
  6. 前記ゲートカット領域の垂直方向寸法が、シャロートレンチアイソレーションから、前記第1のS/Dコンタクトの頂面に延びている、請求項1から3のいずれか一項に記載の半導体構造。
  7. 前記ゲートカット領域が、2つのNFET間の境界および2つのPFET間の境界からなる群から選択されるセル境界に位置している、請求項1から3のいずれか一項に記載の半導体構造。
  8. PFETとNFETとの間の境界に位置する狭ゲートカット領域を備える、請求項1から3のいずれか一項に記載の半導体構造。
  9. 前記第1のS/Dコンタクトの底端部が、前記第1の側面の頂端部よりも低い、請求項1から3のいずれか一項に記載の半導体構造。
  10. 半導体構造を製造する方法であって、
    ゲートカットを形成する段階と、
    第1の側面および第2の側面を有するライナで前記ゲートカットをライニングする段階と、
    前記第1の側面と前記第2の側面との間に誘電体コアを形成する段階と、
    前記第1の側面に、前記誘電体コアに少なくとも部分的に入るようにソース/ドレイン・コンタクト領域を切り込む段階と、
    前記第2の側面で前記ライナからクリーンに前記誘電体コアを取り除くように、前記誘電体コアを選択的にエッチングする段階と、
    前記ソース/ドレイン・コンタクト領域内にソース/ドレイン・コンタクトを形成する段階であって、前記第2の側面にライン端が接触する、形成する段階と
    を備える方法。
  11. 複数のナノシートトランジスタスタックを形成する段階を備え、前記ゲートカットが、第1のナノシートトランジスタスタックと第2のナノシートトランジスタスタックとの間で形成される、請求項10に記載の方法。
  12. ソース/ドレインを形成する段階をさらに備え、前記ソース/ドレイン・コンタクトが、前記ソース/ドレインに電気的に接続するように形成される、請求項10または11に記載の方法。
  13. 前記誘電体コアを選択的にエッチングする段階が、前記第1の側面の頂端部よりも低い、クリーンアップ領域の底端部をエッチングする段階を有する、請求項10または11に記載の方法。
  14. 前記ゲートカットが、(i)2つのNFET間の境界、および、(ii)2つのPFET間の境界からなる群から選択されるセル境界に位置する、請求項10または11に記載の方法。
  15. 第1のソース/ドレイン(S/D)と電力レールとの間で電気的に接続された第1のソース/ドレイン(S/D)コンタクトと、
    第2のS/Dと信号レールとの間で電気的に接続された第2のS/Dコンタクトと、
    前記第1のS/Dコンタクトと前記第2のS/Dコンタクトとの間のゲートカット領域であって、ライナおよび誘電体コアを有するゲートカット領域と
    を備える半導体構造。
  16. 前記ゲートカット領域と前記第2のS/Dコンタクトとの間の層間絶縁膜(ILD)を備える、請求項15に記載の半導体構造。
  17. 前記第1のS/Dが、PFETおよびNFETからなる群から選択されたものを含む、請求項15または16に記載の半導体構造。
  18. 前記ライナが、希釈フッ化水素酸(DHF)に耐性があるライナ材料を含み、前記誘電体コアが、DHFによりエッチングされるコア材料を含む、請求項15または16に記載の半導体構造。
  19. PFETとNFETとの間の境界に位置する狭ゲートカット領域を備える、請求項15または16に記載の半導体構造。
  20. 前記ゲートカット領域が、2つのNFET間の境界および2つのPFET間の境界からなる群から選択されるセル境界に位置している、請求項15または16に記載の半導体構造。
JP2022151893A 2021-09-30 2022-09-22 半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め) Pending JP2023051808A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/491,408 US20230095508A1 (en) 2021-09-30 2021-09-30 Source/drain contact positioning under power rail
US17/491,408 2021-09-30

Publications (1)

Publication Number Publication Date
JP2023051808A true JP2023051808A (ja) 2023-04-11

Family

ID=83692870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022151893A Pending JP2023051808A (ja) 2021-09-30 2022-09-22 半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め)

Country Status (3)

Country Link
US (1) US20230095508A1 (ja)
JP (1) JP2023051808A (ja)
WO (1) WO2023052157A1 (ja)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
KR102404973B1 (ko) * 2015-12-07 2022-06-02 삼성전자주식회사 반도체 장치
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10522423B2 (en) * 2017-08-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for fin-like field effect transistor
KR102460847B1 (ko) * 2018-05-25 2022-10-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11349001B2 (en) * 2019-10-10 2022-05-31 International Business Machines Corporation Replacement gate cross-couple for static random-access memory scaling
US11735592B2 (en) * 2019-12-20 2023-08-22 Samsung Electronics Co., Ltd. Integrated circuit including integrated standard cell structure
US11616062B2 (en) * 2020-04-30 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation for multigate device
DE102021107624A1 (de) * 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-isolation für mehr-gate-vorrichtung

Also Published As

Publication number Publication date
WO2023052157A1 (en) 2023-04-06
US20230095508A1 (en) 2023-03-30

Similar Documents

Publication Publication Date Title
US7612416B2 (en) Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US11705454B2 (en) Active regions via contacts having various shaped segments off-set from gate via contact
KR102053973B1 (ko) 반도체 디바이스 및 그 제조 방법
US11810917B2 (en) Self-aligned etch in semiconductor devices
US20160035743A1 (en) Field effect transistor (fet) with self-aligned contacts, integrated circuit (ic) chip and method of manufacture
US11251308B2 (en) Semiconductor device and method
KR102568602B1 (ko) 반도체 디바이스 및 방법
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
US10096689B2 (en) Low end parasitic capacitance FinFET
JP2013168487A (ja) 半導体装置の製造方法および半導体装置
US20190035912A1 (en) Structure and formation method of isolation feature of semiconductor device structure
US11942390B2 (en) Thermal dissipation in semiconductor devices
US20230106015A1 (en) Semiconductor devices
US20090189223A1 (en) Complementary Metal Gate Dense Interconnect and Method of Manufacturing
US8329519B2 (en) Methods for fabricating a semiconductor device having decreased contact resistance
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
CN111106133A (zh) 用于具有多个半导体器件层的半导体结构的系统和方法
TWI742484B (zh) 用於與被動裝置使用之虛置填充方案
JP2023051808A (ja) 半導体構造および半導体構造を製造する方法(電力レールの下でのソース/ドレイン・コンタクトの位置決め)
CN115000066A (zh) 半导体装置
CN113363215A (zh) 半导体器件及其形成方法
JP6271982B2 (ja) 半導体装置及びその製造方法
US11996360B2 (en) Semiconductor device and manufacturing method thereof
US20240063121A1 (en) Backside contact for semiconductor device