CN103165674B - 具有多阈值电压的FinFET - Google Patents

具有多阈值电压的FinFET Download PDF

Info

Publication number
CN103165674B
CN103165674B CN201210058769.XA CN201210058769A CN103165674B CN 103165674 B CN103165674 B CN 103165674B CN 201210058769 A CN201210058769 A CN 201210058769A CN 103165674 B CN103165674 B CN 103165674B
Authority
CN
China
Prior art keywords
metal layer
metal level
semiconductor fin
work content
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210058769.XA
Other languages
English (en)
Other versions
CN103165674A (zh
Inventor
郭博钦
李显铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103165674A publication Critical patent/CN103165674A/zh
Application granted granted Critical
Publication of CN103165674B publication Critical patent/CN103165674B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种器件,包括:衬底、位于衬底上的半导体鳍以及位于半导体鳍的顶面和侧壁上的栅极介电层。栅极介电层将栅电极与半导体鳍间隔开。栅电极包括位于半导体鳍上方并且与其对准的顶部,以及位于介电层的侧壁部分上的侧壁部分。栅电极的顶部具有第一功函,栅电极的侧壁部分具有与第一功函不同的第二功函。本发明还提供了一种具有多阈值电压的FinFET。

Description

具有多阈值电压的FinFET
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种具有多阈值电压的FinFET。
背景技术
随着集成电路的尺寸越来越小以及对集成电路速度的越来越苛刻的要求,晶体管需要在更小的尺寸情况下具有更高的驱动电流。由此发展出了鳍式场效应晶体管(FinFET)。与平面型器件相比,FinFET晶体管具有更好的短沟道效应(SCE),该短沟道效应使得晶体管能够持续缩小,并且由于其沟道宽度的增大实现了更高的驱动电流。通过形成沟道来实现FinFET沟槽宽度的增大,该沟道包括位于鳍的侧壁上的部分以及位于鳍的顶面上的部分。FinFET可以是双栅极FET,该双栅极FET包括位于相应的鳍的侧壁上的沟道,但在相应的鳍的顶面上没有沟道。FinFET也可以是三栅极FET,该三栅极FET包括位于相应的鳍的侧壁和顶面上的沟道。由于晶体管的驱动电流与沟槽的宽度成比例,所以提高了FinFET的驱动电流。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种器件,包括:衬底;半导体鳍,位于所述衬底上方;栅极介电层,位于所述半导体鳍的顶面和侧壁上;以及栅电极,通过所述栅极介电层与所述半导体鳍间隔开,其中,所述栅电极包括位于所述半导体鳍上方并且与所述半导体鳍对准的顶部以及位于所述介电层的侧壁部分上的侧壁部分,并且其中,所述栅电极的顶部具有第一功函,并且所述栅电极的侧壁部分具有与所述第一功函不同的第二功函。
在该器件中,所述第一功函高于所述第二功函。
在该器件中,所述第一功函低于所述第二功函。
在该器件中,所述栅电极包括:第一金属层,位于所述半导体鳍上方并且与所述半导体鳍对准,其中,所述第一金属层不延伸到所述半导体鳍的侧面;以及第二金属层,包括位于所述半导体鳍上方并且与所述半导体鳍对准的第一部分以及在所述半导体鳍的侧面上延伸的第二部分,其中,所述第一金属层和所述第二金属层包含不同的材料。
在该器件中,还包括:保护层,位于所述介电层上方并且位于所述第一金属层和所述第二金属层下方,其中,所述第一金属层和所述第二金属层均接触所述保护层。
在该器件中,所述保护层包含氮化钛。
在该器件中,所述第一功函和所述第二功函具有大于大约0.2eV的差值。
根据本发明的另一方面,提供了一种器件,包括:衬底;半导体鳍,位于所述衬底上方,其中,所述半导体鳍是所述鳍式场效应晶体管(FinFET)的一部分;栅极介电层,位于所述半导体鳍的顶面和侧壁上;以及栅电极,通过所述栅极介电层与所述半导体鳍间隔开,其中,所述栅电极包括:第一金属层,位于所述半导体鳍上方并且与所述半导体鳍对准,其中,所述第一金属层基本上不包括低于所述半导体鳍的顶面的部分;以及第二金属层,包括位于所述第一金属层上方并且与所述第一金属层对准的第一部分以及低于所述半导体鳍的顶面的第二部分,其中,所述第一金属层和所述第二金属层包含不同的材料,并且其中,所述第一金属层和所述第二金属层的所述第一部分形成所述FinFET的栅电极的顶部,所述第二金属层的所述第二部分形成所述FinFET的所述栅电极的侧壁部分。
在该器件中,所述第一金属层具有第一功函,所述第二金属层具有第二功函,并且其中,所述第一功函大于所述第二功函。
在该器件中,所述第一金属层具有第一功函,所述第二金属层具有第二功函,并且其中,所述第一功函小于所述第二功函。
在该器件中,还包括:保护层,位于所述介电层上方并且位于所述第一金属层和所述第二金属层下方,其中,所述第一金属层和所述第二金属层均接触所述保护层。
在该器件中,所述保护层包含氮化钛。
根据本发明的又一方面,提供了一种方法,包括:在半导体鳍上形成栅极电介质,其中,所述栅极电介质包括位于所述半导体鳍的顶面上方的顶部以及位于所述半导体鳍的侧壁上的侧壁部分;在所述栅极电介质的顶部上方形成第一金属层,其中,所述第一金属层不包括在所述栅极电介质的所述侧壁部分上延伸的部分;以及形成第二金属层,其中,所述第二金属层包括位于所述第一金属层上方的第一部分以及在所述栅极电介质的侧壁部分上延伸的第二部分,并且其中,所述第一金属层和所述第二金属层包含不同的材料。
在该器件中,使用非共形沉积方法执行形成所述第一金属层的步骤。
在该器件中,使用共形沉积方法形成所述第二金属层。
在该器件中,形成所述第一金属层的步骤包括:沉积所述第一金属层,并且执行蚀刻步骤来去除位于所述栅极电介质的侧壁部分上的所述第一金属层的部分。
在该器件中,所述第一金属层和所述第二金属层的所述第一部分形成栅电极的顶部,所述第二金属层的所述第二部分形成所述栅电极的第二部分,并且其中,所述栅电极的顶部和所述栅电极的所述第二部分具有不同的功函。
在该器件中,还包括:在形成所述栅极电介质的步骤之后并且在形成所述第一金属层的步骤之前,在所述栅极电介质上方形成保护层,其中,所述第一层和所述第二层均与所述保护层相接触。
在该器件中,还包括:在所述保护层上方形成伪栅极;以及去除所述伪栅极,其中,在去除所述伪栅极的步骤之后暴露出所述保护层,并且其中,在去除所述伪栅极的步骤之后执行形成所述第一金属层和所述第二金属层的步骤。
在该器件中,所述第一金属层和所述第二金属层具有大于大约0.1eV的功函差。
附图说明
为了更全面地理解实施例及其优势,现将结合附图所进行的描述作为参考,其中:
图1至图6B是根据一些示例性实施例的制造鳍式场效应晶体管(FinFET)的中间阶段的截面图,其中,采用先栅极方法来形成FinFET;以及
图7至图10是根据一些示例性实施例的制造FinFET的中间阶段的截面图,其中,采用后栅极方法来形成FinFET。
具体实施方式
下面,详细讨论本发明各实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的概念。所论述的具体实施例仅仅是说明性的,而不限制本发明的范围。
根据各个实施例提供了鳍式场效应晶体管(FinFET)及其形成方法。示出了形成FinFET的中间阶段。论述了根据实施例的FinFET的变型和操作。在各个视图和说明性实施例中,类似的参考标号被用于表示类似的元件。
图1至图6B是根据一些示例性实施例的制造鳍式场效应晶体管(FinFET)的中间阶段的截面图。参考图1A和图1B,形成初始结构。从图1A中的剖面线1B-1B得到图1B中所示的截面图。该初始结构包括部分晶圆10,该管芯还包括衬底20。衬底20可以是半导体衬底,该半导体衬底可以进一步是硅衬底,锗衬底、或由其他半导体材料形成的衬底。衬底20可以掺杂有p型或n型杂质。隔离区域(诸如,浅沟槽隔离(STI)区域22)可以形成在衬底20中。半导体鳍24形成在STI区域22上方。在一些实施例中,半导体鳍24包括与衬底20相同的材料,并且可以由半导体衬底20形成,例如,通过对STI区域22进行开槽。
参考图2,界面层26形成在鳍24上。界面层26可以由化学氧化物、热氧化物等等形成。在一些实施例中,可以通过氧化鳍24的表层来形成界面层26。栅极介电层28形成在界面层26上。根据一些实施例,栅极介电层28包括氧化硅、氮化硅、或其多层。在可选实施例中,栅极介电层28由高k介电材料形成,并且由此在整个说明中可选地被称作高k介电层28。高k介电层28可以具有大于大约7.0的k值,并且可以包括氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的硅化物及其组合。高k介电层28的示例性材料包括MgOx、BaTixOy、BaSrxTiyOz、PbTixOy、PbZrxTiyOz等,X、Y和Z的值在0和1之间。高k介电层28的厚度可以在大约1nm和大约10nm之间。然而,本领域普通技术人员将意识到,整个说明书中所列举的尺寸是实例并且可以变成不同的值。栅极介电层28的形成方法可以包括分子束沉积(MBD)、原子层沉积(ALD)、物理汽相沉积(PVD)等。
在栅极介电层28上方可以形成保护层(cappinglayer)30。在可选实施例中,不形成保护层30,并且随后形成的金属层34和40(图2中未示出,请参考图3A至图4)直接形成在栅极介电层28上并与其相接触。在一些实施例中,保护层30具有接近硅的导带和价带的中间水平的中间禁带功函(mid-gapworkfunction)。在一些示例性实施例中,保护层30包括氮化钛(TiN)。在可选实施例中,保护层30的示例性材料包括含钽材料和/或含钛材料,诸如,TaC、TaN、TaAlN、TaSiN、及其组合。
参考图3A,非共形金属层34形成在鳍24上方并且与其对准。在一些实施例中,使用非共形沉积方法(诸如,PVD)来形成金属层34。因此,非共形金属层34包括位于鳍24上方并且与其对准的顶部,但不包括位于保护层30的侧壁部分上的部分。在可选实施例中,如虚线所示的那样,可以在保护层30的侧壁部分上形成由金属层34所构成的薄层。根据这些实施例,金属层34的侧壁部分(如果存在的话)的厚度T2明显小于金属层34的顶部的厚度T1。比例T2/T1可以小于大约2.0,或在一些示例性实施例中小于大约0.1。当非共形金属层34包括位于保护层30的侧壁部分上的薄层时,可以执行蚀刻步骤来蚀刻和去除金属层34的侧壁部分。在该蚀刻过程中,可以不形成用于覆盖金属层34的顶部的蚀刻掩模。在可选实施例中,不执行蚀刻步骤,并且将薄金属层34保留在保护层30的侧壁部分上。在蚀刻步骤中还可以减小保护层30的顶部的厚度。由于厚度T1大于厚度T2,所以在蚀刻之后,金属层34仍然保留在鳍24上方并且与其对准,但不保留金属层34的侧壁部分。在一些实施例中,厚度T1大于大约并且可以在和大约之间,金属层34的功函由此可以影响所得到的FinFET的阈值电压。
图3B示出了根据示例性实施例的金属层34的形成。在这些实施例中,最初可以使用共形沉积方法(诸如,ALD或化学汽相沉积(CVD)方法)来形成金属层34。在形成金属层34之后,形成并且图案化掩模36来覆盖金属层34的顶部,并且掩模36没有覆盖金属层34的侧壁部分。掩模36可以包括光刻胶或硬掩模,诸如,氮化硅。然后,在蚀刻步骤中去除金属层34的暴露的侧壁部分,并且不蚀刻金属层34的顶部。在蚀刻步骤之后,去除掩模36。
参考图4,形成了金属层40。金属层40包括位于金属层34上面的部分。另外,金属层在保护层30的侧壁部分上延伸。在一些实施例中,金属层40是共形层,其中,顶厚度T3与侧壁厚度T4彼此接近。在一些示例性实施例中,厚度T3和T4之间的差值小于T3和T4两者厚度的大约百分之20,或小于大约百分之10。厚度T3和T4可以大于大约并且在一些实施例中可以在大约和大约之间。
金属层34和40包括不同的材料,并且可以具有不同的功函。金属层34的功函WF34可以大于或小于金属层40的功函WF40。在一些实施例中,功函WF34和WF40可以具有大于大约0.1eV,或在大约0.1eV和大约1.0eV之间的差值,然而,该差值可以更大或更小。在其中所得到的FinFET60(图6A)是P型FinFET的实施例中,功函WF34和WF40中的每个都可以在大约4.1eV和大约5.2eV之间。在其中所得到的FinFET60(图6A)是N型FinFET的实施例中,功函WF34和WF40中的每个都可以在大约4.1eV和大约5.2eV之间。在一些实施例中,金属层34和40的材料可以选自于TiN、TaN、TaAlC、TiAl、TaC、TaAl、Co及其组合。
参考图5A和图5B,形成了厚金属层42。在一些实施例中,厚金属层42由铝或铝合金形成。例如,厚金属层42的厚度T5可以大于大约50nm,并且可以在大约50nm和大约120nm之间。在形成厚金属层42之后,图案化金属层42、40和34、保护层30、介电层28以及界面层26,从而形成栅极堆叠件,其中,可以从图5B中看出该栅极堆叠件。从图5A中的平面剖切线5B-5B得到图5B中的截面图。如图5B所示,金属层34形成在鳍24的中间部分上。另外,金属层34位于半导体鳍24的顶面上方,并且基本上金属层34的任何部分都不延伸到半导体鳍24的顶面下方。金属层40和42、保护层30、介电层28以及界面层26也在鳍24的顶面和侧壁上延伸。
图6A和图6B示出了栅极隔离件46、源极和漏极区域48、源极/漏极硅化物区域50、接触塞52以及层间电介质(ILD)54的形成。由此完成了FinFET60的形成。参考图6A,在一些实施例中,栅极隔离件46首先形成在界面层26、介电层28、保护层30以及金属层34、40和42的侧壁上。然后,形成了源极和漏极区域48、在一些实施例中,通过深注入形成源极和漏极区域48。根据FinFET60的传导类型,可以注入n型杂质来形成n型FinFET60,或可以注入p型杂质来形成p型FinFET60。深源极/漏极区域48的掺杂浓度可以在大约1×1020/cm3和大约1×1021/cm3之间或更高。在一些实施例中,源极和漏极区域48的形成也可以包括蚀刻鳍24的未被金属层34、40和42所覆盖的部分,并且执行取向附生来生长应激物(stressor,未示出,可以是硅锗或硅碳)。然后,注入该应激物来形成源极/漏极区域48。
图6A还示出了硅化物区域50(可以是亚锗硅化物(germano-silicide)区域)。可以通过均厚沉积金属(诸如,镍、钛、钴及其组合)的薄层来形成硅化物区域50。然后加热晶圆10,这导致硅和锗与其所接触的金属相反应。在反应之后,金属硅化物的层形成在硅(或硅锗)和金属之间。通过使用蚀刻剂来选择性地去除未反应的金属,该蚀刻剂损害金属但不损害硅化物和亚锗硅化物。然后,形成ILD54来覆盖FinFET60,并且将接触塞52形成在ILD54中,从而电连接至FinFET60。
图6B示出了图6A中的FinFET60的截面图,其中,从图6A的6B-6B的平面剖切线得到该截面图。参考图6B,FInFET60的沟槽区域62包括顶部62A和侧壁部分62B。顶部沟道区域62A包括鳍24的顶面部分,而侧壁沟道部分62B包括鳍24的侧壁部分。实际上,沟道部分62A以及源极和漏极区域48形成了第一晶体管60A。第一晶体管60A的栅电极包括层30、34、40和42的位于鳍24上方并且将其覆盖的顶部。沟道部分62B以及源极和漏极区域48形成了第二和第三晶体管60B。第二晶体管60B的栅电极包括层30、40和42的位于鳍24的侧壁上的侧壁部分。可以意识到,第二晶体管60B的栅电极可以不包括金属层34,或可选地包括非常薄的金属层34。
晶体管60A的栅电极的有效功函受到金属层34的功函的影响,该金属层的功函至少部分地决定晶体管60A的阈值电压VthA。换言之,由于金属层34不在鳍24的侧壁上延伸,所以晶体管60B的栅电极的功函不受到金属层34的功函的影响。然而,金属层40对晶体管60B的栅电极的所得到的功函具有很大影响。因此,晶体管60A和60B的栅电极的有效功函彼此可以是不同。晶体管60A的栅电极的有效功函可以高于、等于或低于晶体管60B的栅电极的有效功函。在一些示例性实施例中,晶体管60A和60B的栅电极的有效功函之间的差值可以大于大约0.2eV。
无论FinFET60是n型的或是p型的,由于功函的差别,晶体管60A的阈值电压VthA和晶体管60B的阈值电压VthB可以是彼此不同的,但也可以是彼此相同的。在一些实施例中,阈值电压VthA大于阈值电压VthB。在可选实施例中,阈值电压VthA与VthB之间的差值可以大于大约0.2V,并且可以在大约0.2V和大约1.0V之间。
图1至图6B示出了用于形成FinFET60的先栅极方法。图7至图10示出了根据可选实施例形成FinFET60的中间阶段的截面图,在这些实施例中也可以使用后栅极方法来形成FinFET60。除非另行说明,这些实施例中的部件的材料和形成方法基本上与在图1至图6B中以类似的参考标号表示的类似的部件相同。由此,可以在图1至图6B所示的实施例的论述中得到图7至图10中所示的实施例的形成细节。
这些实施例的初始步骤基本上与图1和图2所示的相同。然后,如图7所示,形成了伪栅极70。在一些实施例中,伪栅极70包括多晶硅,然而也可以使用其他材料。由SiN形成的硬掩模可以形成在伪栅极70上。然后,形成源极/漏极区域48以及源极/漏极硅化物区域50(图7中未示出,请参考图6A)。由于源极/漏极区域48以及源极/漏极硅化物区域50不位于图7的平面中,所以并未示出。源极/漏极区域48以及源极/漏极硅化物区域50可以基本上与图6A中所示的相同。
然后,形成了ILD54,随后进行化学机械抛光(CMP)。在CMP中,硬掩模72被用作为CMP停止层,从而使得ILD54的顶面可以与硬掩模72的顶面齐平。然后,去除硬掩模72和伪栅极70,并且暴露出保护层30。图8中示出了所得到的结构。在后续的步骤中,如图9所示,形成了金属层34、40和42。与图3A和图3B中的实施例类似,金属层34可以位于鳍24上方并且与其对准,并且可以不包括保护层30的侧壁部分上的侧壁部分。然而,金属层40包括位于金属层34上方并且与其对准的部分,以及延伸到保护层30的侧壁的部分。然后形成金属层42来填充伪栅极70所留下的剩余空间。然后,执行CMP来去除金属层40和42的过量部分,从而使金属层40和42的顶面与ILD54的顶面相齐平。由此,金属层34、40和42以及保护层30形成了所得到的FinFET60的栅电极。图10中示出了所得到的FinFET60。在后续的步骤中,在ILD54上方形成了额外的ILD(未示出),并且可以形成用于穿过额外的ILD和ILD54,并且用于与FinFET60的源极/漏极区域以及栅电极电连接的接触塞。
在图7至图10所示的实施例中,使用后栅极方法形成FinFET60的栅极。然而,栅极介电层28形成在替换该栅极之前。因此,该相应的方法有时被称为后栅极先电介质方法,或如果栅极介电层28由高k电介质材料形成的话,被称为后栅极先HK方法。在可选实施例中,可以使用后栅极后电介质方法(或后栅极后HK方法)。除了不在形成伪栅极70之前形成栅极介电层28以外,该工艺步骤与图7至图10所示的步骤类似。然而,栅极介电层28形成在去除伪栅极70(见图8所示步骤)之后,并且形成在如图9所示的保护层30形成之前。因此,所得到的栅极介电层28也在STI区域22的顶面上延伸,并且在ILD54的侧壁上延伸。
在通过形成顶部晶体管60A(图6B和图10)来具有与侧壁晶体管60B不同的阈值电压的实施例中,可以通过调整FinFET60的栅极电压来调整所得到的FinFET60的饱和电流。例如,假设顶部晶体管60A的阈值电压VthA大于侧壁晶体管60B的阈值电压VthB,如果栅极电压Vg小于阈值电压VthB,那么晶体管60A和60B都没有导通,并且整个FinFET60都是截止的。如果栅极电压Vg大于阈值电压VthB并且小于阈值电压VthA,那么侧壁晶体管60B导通,而顶部晶体管60A截止。FinFET60的饱和电流(下文中被称为饱和电流Isat1)接近侧壁晶体管60B的总饱和电流。然而,如果栅极电压Vg大于阈值电压VthA,那么晶体管60A和60B均导通。FinFET60的饱和电流Isat2由此接近顶部晶体管60A和侧壁晶体管60B的总饱和电流,该饱和电流Isat2大于饱和电流Isat1。
根据实施例,一种器件包括:衬底、位于衬底上的半导体鳍以及位于半导体鳍的顶面和侧壁上的栅极介电层。栅极介电层将栅电极与半导体鳍分隔开。栅电极包括位于半导体鳍上方并且与其对准的顶部,以及位于介电层的侧壁部分上的侧壁部分。栅电极的顶部具有第一功函,栅电极的侧壁部分具有与第一功函不同的第二功函。
根据其他实施例,一种器件包括:衬底,以及位于该衬底上方的半导体鳍,其中,半导体鳍是FinFET的一部分。栅极介电层设置在半导体鳍的顶面和侧壁上。栅极介电层将栅电极与半导体鳍间隔开。栅电极包括位于半导体鳍上方并且与其对准的第一金属层,其中,该第一金属层不包括低于半导体鳍的顶面的大部分。该栅电极还包括:第二金属层,该第二金属层包括位于第一金属层上方并且与其对准的第一部分,以及低于该半导体鳍的顶面的第二部分。第一金属层和第二金属层包括不同的材料。第一金属层和第二金属层的第一部分形成了FinFET的栅电极的顶部,第二金属层的第二部分形成了FinFET的栅电极的侧壁部分。
根据另外其他实施例,一种方法包括:在半导体鳍上形成栅极电介质,其中,该栅极电介质包括位于半导体鳍的顶面上方的顶部,以及位于半导体鳍的侧壁上的侧壁部分。第一金属层形成在栅极电介质的第一部分上方,其中,第一金属层不包括在栅极电介质的侧壁部分上延伸的部分。形成第二金属层,其中,第二金属层包括位于第一金属层上方的第一部分,以及在栅极介电层的侧壁部分上延伸的第二部分。该第一和第二金属层包含不同的材料。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (19)

1.一种具有多阈值电压的鳍式场效应晶体管,包括:
衬底;
半导体鳍,位于所述衬底上方;
栅极介电层,位于所述半导体鳍的顶面和侧壁上;以及
栅电极,通过所述栅极介电层与所述半导体鳍间隔开,其中,所述栅电极包括位于所述半导体鳍上方并且与所述半导体鳍对准的顶部以及位于所述介电层的侧壁部分上的侧壁部分,并且其中,所述栅电极的顶部具有第一功函,并且所述栅电极的侧壁部分具有与所述第一功函不同的第二功函,所述栅电极包括:
第一金属层,位于所述半导体鳍上方并且与所述半导体鳍对准,其中,所述第一金属层不延伸到所述半导体鳍的侧面;以及
第二金属层,包括位于所述半导体鳍上方并且与所述半导体鳍对准的第一部分以及在所述半导体鳍的侧面上延伸的第二部分,其中,所述第一金属层和所述第二金属层包含不同的材料。
2.根据权利要求1所述的具有多阈值电压的鳍式场效应晶体管,其中,所述第一功函高于所述第二功函。
3.根据权利要求1所述的具有多阈值电压的鳍式场效应晶体管,其中,所述第一功函低于所述第二功函。
4.根据权利要求1所述的具有多阈值电压的鳍式场效应晶体管,还包括:保护层,位于所述介电层上方并且位于所述第一金属层和所述第二金属层下方,其中,所述第一金属层和所述第二金属层均接触所述保护层。
5.根据权利要求4所述的具有多阈值电压的鳍式场效应晶体管,其中,所述保护层包含氮化钛。
6.根据权利要求1所述的具有多阈值电压的鳍式场效应晶体管,其中,所述第一功函和所述第二功函具有大于0.2eV的差值。
7.一种具有多阈值电压的鳍式场效应晶体管,包括:
衬底;
半导体鳍,位于所述衬底上方,其中,所述半导体鳍是所述鳍式场效应晶体管的一部分;
栅极介电层,位于所述半导体鳍的顶面和侧壁上;以及
栅电极,通过所述栅极介电层与所述半导体鳍间隔开,其中,所述栅电极包括:
第一金属层,位于所述半导体鳍上方并且与所述半导体鳍对准,其中,所述第一金属层基本上不包括低于所述半导体鳍的顶面的部分;以及
第二金属层,包括位于所述第一金属层上方并且与所述第一金属层对准的第一部分以及低于所述半导体鳍的顶面的第二部分,其中,所述第一金属层和所述第二金属层包含不同的材料,并且其中,所述第一金属层和所述第二金属层的所述第一部分形成所述鳍式场效应晶体管的栅电极的顶部,所述第二金属层的所述第二部分形成所述鳍式场效应晶体管的所述栅电极的侧壁部分。
8.根据权利要求7所述的具有多阈值电压的鳍式场效应晶体管,其中,所述第一金属层具有第一功函,所述第二金属层具有第二功函,并且其中,所述第一功函大于所述第二功函。
9.根据权利要求7所述的具有多阈值电压的鳍式场效应晶体管,其中,所述第一金属层具有第一功函,所述第二金属层具有第二功函,并且其中,所述第一功函小于所述第二功函。
10.根据权利要求7所述的具有多阈值电压的鳍式场效应晶体管,还包括:保护层,位于所述介电层上方并且位于所述第一金属层和所述第二金属层下方,其中,所述第一金属层和所述第二金属层均接触所述保护层。
11.根据权利要求10所述的具有多阈值电压的鳍式场效应晶体管,其中,所述保护层包含氮化钛。
12.一种制造具有多阈值电压的鳍式场效应晶体管的方法,包括:
在半导体鳍上形成栅极电介质,其中,所述栅极电介质包括位于所述半导体鳍的顶面上方的顶部以及位于所述半导体鳍的侧壁上的侧壁部分;
在所述栅极电介质的顶部上方形成第一金属层,其中,所述第一金属层不包括在所述栅极电介质的所述侧壁部分上延伸的部分;以及
形成第二金属层,其中,所述第二金属层包括位于所述第一金属层上方的第一部分以及在所述栅极电介质的侧壁部分上延伸的第二部分,并且其中,所述第一金属层和所述第二金属层包含不同的材料。
13.根据权利要求12所述的方法,其中,使用非共形沉积方法执行形成所述第一金属层的步骤。
14.根据权利要求13所述的方法,其中,使用共形沉积方法形成所述第二金属层。
15.根据权利要求12所述的方法,其中,形成所述第一金属层的步骤包括:沉积所述第一金属层,并且执行蚀刻步骤来去除位于所述栅极电介质的侧壁部分上的所述第一金属层的部分。
16.根据权利要求12所述的方法,其中,所述第一金属层和所述第二金属层的所述第一部分形成栅电极的顶部,所述第二金属层的所述第二部分形成所述栅电极的第二部分,并且其中,所述栅电极的顶部和所述栅电极的所述第二部分具有不同的功函。
17.根据权利要求12所述的方法,还包括:在形成所述栅极电介质的步骤之后并且在形成所述第一金属层的步骤之前,在所述栅极电介质上方形成保护层,其中,所述第一金属层和所述第二金属层均与所述保护层相接触。
18.根据权利要求17所述的方法,还包括:
在所述保护层上方形成伪栅极;以及
去除所述伪栅极,其中,在去除所述伪栅极的步骤之后暴露出所述保护层,并且其中,在去除所述伪栅极的步骤之后执行形成所述第一金属层和所述第二金属层的步骤。
19.根据权利要求12所述的方法,其中,所述第一金属层和所述第二金属层具有大于0.1eV的功函差。
CN201210058769.XA 2011-12-16 2012-03-07 具有多阈值电压的FinFET Expired - Fee Related CN103165674B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/328,936 US8907431B2 (en) 2011-12-16 2011-12-16 FinFETs with multiple threshold voltages
US13/328,936 2011-12-16

Publications (2)

Publication Number Publication Date
CN103165674A CN103165674A (zh) 2013-06-19
CN103165674B true CN103165674B (zh) 2016-08-03

Family

ID=48588607

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210058769.XA Expired - Fee Related CN103165674B (zh) 2011-12-16 2012-03-07 具有多阈值电压的FinFET

Country Status (3)

Country Link
US (4) US8907431B2 (zh)
KR (1) KR101312747B1 (zh)
CN (1) CN103165674B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8907431B2 (en) * 2011-12-16 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple threshold voltages
KR102055379B1 (ko) 2013-08-08 2019-12-13 삼성전자 주식회사 트라이-게이트를 포함하는 반도체 소자 및 그 제조 방법
US9224842B2 (en) * 2014-04-22 2015-12-29 Globalfoundries Inc. Patterning multiple, dense features in a semiconductor device using a memorization layer
KR102237433B1 (ko) 2014-05-07 2021-04-07 삼성전자주식회사 반도체 소자의 제조 방법
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
KR102219678B1 (ko) 2014-08-12 2021-02-25 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9496402B2 (en) * 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers
US9443949B1 (en) 2015-03-27 2016-09-13 International Business Machines Corporation Techniques for multiple gate workfunctions for a nanowire CMOS technology
US9647115B1 (en) * 2015-10-14 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with enhanced contact and method of manufacture the same
US9425196B1 (en) 2015-12-08 2016-08-23 International Business Machines Corporation Multiple threshold voltage FinFETs
WO2017105469A1 (en) * 2015-12-17 2017-06-22 Intel Corporation Differential work function between gate stack metals to reduce parasitic capacitance
CN107492498B (zh) * 2016-06-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN107919324B (zh) * 2016-10-10 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US10804140B2 (en) 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
US10580685B2 (en) * 2018-07-27 2020-03-03 Globalfoundries Inc. Integrated single diffusion break
US10867860B2 (en) * 2018-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFET device
CN109920846B (zh) * 2019-03-11 2023-11-03 长江存储科技有限责任公司 晶体管及其形成方法、存储器
CN110120418B (zh) * 2019-05-07 2023-03-24 芯盟科技有限公司 垂直纳米线晶体管及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003204068A (ja) * 2001-12-14 2003-07-18 Internatl Business Mach Corp <Ibm> インプランテッド非対称ドープト・ポリシリコン・ゲートFinFET
US6855989B1 (en) * 2003-10-01 2005-02-15 Advanced Micro Devices, Inc. Damascene finfet gate with selective metal interdiffusion
KR100620065B1 (ko) * 2005-09-08 2006-09-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7781810B1 (en) * 2003-01-23 2010-08-24 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8222680B2 (en) * 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
JP4921755B2 (ja) * 2005-09-16 2012-04-25 株式会社東芝 半導体装置
US7659157B2 (en) * 2007-09-25 2010-02-09 International Business Machines Corporation Dual metal gate finFETs with single or dual high-K gate dielectric
US20110163393A1 (en) * 2008-06-11 2011-07-07 Nxp B.V. Semiconductor device manufacturing method an integrated circuit comprising such a device
US8237233B2 (en) * 2008-08-19 2012-08-07 International Business Machines Corporation Field effect transistor having a gate structure with a first section above a center portion of the channel region and having a first effective work function and second sections above edges of the channel region and having a second effective work function
US9024299B2 (en) * 2008-10-14 2015-05-05 Imec Method for fabricating a dual work function semiconductor device and the device made thereof
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8043920B2 (en) * 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US8710596B2 (en) * 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8614106B2 (en) * 2011-11-18 2013-12-24 International Business Machines Corporation Liner-free tungsten contact
US8907431B2 (en) * 2011-12-16 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple threshold voltages

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003204068A (ja) * 2001-12-14 2003-07-18 Internatl Business Mach Corp <Ibm> インプランテッド非対称ドープト・ポリシリコン・ゲートFinFET
US7781810B1 (en) * 2003-01-23 2010-08-24 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US6855989B1 (en) * 2003-10-01 2005-02-15 Advanced Micro Devices, Inc. Damascene finfet gate with selective metal interdiffusion
KR100620065B1 (ko) * 2005-09-08 2006-09-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법

Also Published As

Publication number Publication date
US20140377944A1 (en) 2014-12-25
US9123746B2 (en) 2015-09-01
US20150349080A1 (en) 2015-12-03
US9472638B2 (en) 2016-10-18
KR101312747B1 (ko) 2013-09-27
CN103165674A (zh) 2013-06-19
US8907431B2 (en) 2014-12-09
US10020230B2 (en) 2018-07-10
US20130154002A1 (en) 2013-06-20
KR20130069289A (ko) 2013-06-26
US20170025312A1 (en) 2017-01-26

Similar Documents

Publication Publication Date Title
CN103165674B (zh) 具有多阈值电压的FinFET
US11018241B2 (en) Polysilicon design for replacement gate technology
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US9773867B2 (en) FinFET semiconductor devices with replacement gate structures
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
US9196694B2 (en) Integrated circuits with dual silicide contacts and methods for fabricating same
TWI729181B (zh) 半導體元件及其製作方法
TWI761529B (zh) 半導體元件及其製作方法
CN115377190A (zh) 半导体元件及其制作方法
US10217853B1 (en) Bipolar junction transistor and method for fabricating the same
CN106206714B (zh) 半导体器件
CN111769045B (zh) 半导体元件及其制作方法
US9508791B2 (en) Semiconductor device having a metal gate
KR101803613B1 (ko) 반도체 소자 구조물 및 그 형성 방법
CN109390394B (zh) 穿隧场效晶体管及其制作方法
TW202004989A (zh) 半導體結構及形成積體電路結構的方法
CN107591368B (zh) 多阈值电压鳍式场效应晶体管及其形成方法
US20210249531A1 (en) Nanostructure Device and Method
CN114497034A (zh) 半导体元件
CN103811321A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160803