CN106298463A - 形成图案的方法 - Google Patents

形成图案的方法 Download PDF

Info

Publication number
CN106298463A
CN106298463A CN201510744838.6A CN201510744838A CN106298463A CN 106298463 A CN106298463 A CN 106298463A CN 201510744838 A CN201510744838 A CN 201510744838A CN 106298463 A CN106298463 A CN 106298463A
Authority
CN
China
Prior art keywords
layer
sunk area
substrate
pattern
patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510744838.6A
Other languages
English (en)
Inventor
施江林
许书豪
王雅志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of CN106298463A publication Critical patent/CN106298463A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种形成图案的方法。首先,在衬底上形成一图案化核心层。接着,均匀地在图案化核心层与衬底上形成间隙层,并且定义出多个被间隙层包围的第一凹陷区域。然后,进行回蚀刻工艺,暴露出图案化核心层与凹陷区域下方的衬底。再来,移除暴露的图案化核心层,形成多个同样被间隙层包围的第二凹陷区域。接着,在第一凹陷区域与第二凹陷区域内填入定向自组装材料,然后诱发定向自组装材料进行定向自组装过程。填充在第一凹陷区域与第二凹陷区域内的定向自组装材料会往凹陷区域的边界扩散,在第一凹陷区域与第二凹陷区域中间形成被自主装材料包围的孔洞。

Description

形成图案的方法
技术领域
本发明概括而言涉及形成孔洞或沟槽图案的方法,特别是关于一种利用定向自组装材料的双重图案化技术形成孔洞或沟槽的方法。
背景技术
随着半导体器件整合度的提高,整个芯片的面积随着存储器容量增加而逐渐变大,但实际上半导体器件中每个存储单元的布局图案面积是越来越小的。布局图案的节距(pitch),例如某个图形的关键尺寸(critical dimension,CD)或两图案之间的间距随着半导体器件微缩而愈来越小。为了满足半导体器件高度整合的需求,本技术领域必须提供一种可以制作更精细的半导体器件的工艺技术。一般而言,本技术领域制作半导体器件精细图案的技术包括利用光刻工艺形成图案化的阻挡层,作为后续蚀刻制成的遮罩,接着再蚀刻衬底层,形成所需的半导体器件的图案。
随着半导体器件的设计规范逐渐严苛,布局图案的节距也越来越小,突破目前光刻工艺能力的极限得到更精细的图案,成为本技术领域的技术人员努力的目标。然而,光刻工艺能力受到机台本身所能达到的最大解析度的限制,要突破此限制得到更精细的图案并不容易。例如,已知氟化氩(ArF)激光(波长193nm)浸润式光刻工艺的极限为4x纳米。
在更先进的3x纳米时代,双重图案化技术例如光刻-蚀刻-光刻-蚀刻(LELE)工艺,或者类似的工艺技术被积极地研究开发。以目前的工艺为例,首先在第一阻挡层中形成第一阻挡层开口图案,接着利用所述第一阻挡层开口图案在基层膜上形成第一开口或第一沟槽图案。然后,形成第二阻挡层开口图案,接着,接着利用所述第二阻挡层开口图案在衬底上形成第二开口或第二沟槽图案。
各种双重图案化技术开发过程中,一篇美国专利申请(公开号US2011/0124196)公开了一种新颖的方法,特别用来形成节距小于40纳米的接触 孔洞阵列图案。这篇专利申请公开的双重图案化技术包括,通过均匀分布的柱状体核心,以及沉积在所述柱状体核心上利用原子层沉积法形成的材料层,定义出整个具有所需节距的接触孔洞阵列。然而,此方法最大的问题在于制得的接触孔洞图案具有两种形状,影响到孔洞的关键尺寸的一致性。
有鉴于此,本技术领域需要一种可以形成具有较佳关键尺寸一致性的孔洞图案阵列的方法。
发明内容
本发明的目的在于改良现有的双重图案化技术,利用定向自组装(directed self-assembly,DSA)材料,能够制作出次40纳米时代的关键尺寸的图案,同时也具有较好的一致性。本发明优选者能制作出节距紧密,例如次22nm时代,并且具有适当尺寸一致性的接触洞或介层插塞。本发明公开的方法包括将定向自组装材料设置在衬底上,定义出目标图案。目标图案可通过定向自组装材料的定向自组装过程,在双重图案化工艺中预先形成的间隙中均匀地形成。形成的目标图案接着可转移到下方的衬底或硬遮罩上。
根据本发明提供的形成图案的方法,包括下列步骤。首先,在一衬底上形成一核心层,并且进行图案化工艺形成一图案化核心层。接着,在所述图案化核心层与所述衬底上顺形地形成一间隙层,并且形成多个由所述间隙层包围的第一凹陷区域。然后,进行一回蚀刻工艺,使所述图案化核心层与位于所述第一凹陷区域内的所述衬底暴露出来。移除暴露出来的所述图案化核心层,形成多个被所述间隙层包围的第二凹陷区域。接着,在所述第一凹陷区域与所述第二凹陷区域中填入一定向自组装材料。然后,诱发所述定向自组装材料进行一定向自组装过程,扩散至所述第一凹陷区域与所述第二凹陷区域的边界处,在所述第一凹陷区域与所述第二凹陷区域内形成被所述定向自组装材料包围的一孔洞。
毋庸置疑的,本技术领域的技术人士读完接下来本发明优选实施例的详细说明与附图后,均可了解本发明的目的。
附图说明
图1A、2A、3A、4A、5A、6A、7、8为示意性剖面图,说明根据本发明一实施例,形成一接触洞图案阵列的制作流程。
图1B、2B、3B、4B、5B、6B分别为图1A、2A、3A、4A、5A、6A的俯视图。
须注意的是所有附图均为示意图,以说明和制图方便为目的,相对尺寸及比例都经过调整。相同的符号在不同的实施例中代表相对应或类似的特征。
其中,附图标记说明如下:
100 衬底
101 硬遮罩层
103 核心层/柱状体核心/图案化核心层
105 间隙层
107 第一凹陷区域
111 定向自组装材料
109 第二凹陷区域
112 孔洞图案
具体实施方式
接下来的详细说明须参照相关附图所示内容,用来说明可依据本发明具体实行的实施例。这些实施例提供足够的细节,可使本技术领域中的技术人员充分了解并具体实行本发明。在不悖离本发明的范围内,可作结构、逻辑和电性上的修改应用在其他实施例上。因此,接下来的详细说明并非用来对本发明加以限制。本发明涵盖的范围由其权利要求界定。
在详细说明此优选实施例之前,应该进一步解释在说明中普遍使用的专有名词。
专有名词“蚀刻”普遍用来说明图案化某一材料的工艺,至少部分所述材料会在蚀刻后留存下来。例如,应该可了解蚀刻硅质的过程,包括图案化一位于硅质上方的遮罩层(例如光刻胶或硬遮罩),接着移除未被遮罩层保护的硅质区域。如此一来,被遮罩层保护的硅质区域会在蚀刻工艺结束后留下来。然而, 另外的例子里,蚀刻也表示未使用遮罩层,但蚀刻过程结束后仍留下至少部分材料的工艺。由以上说明,可区分专有名词“蚀刻”与“移除”的不同。当蚀刻某一材料,至少部分所述材料会在工艺结束后留下来。相反地,当移除某一材料时,所有所述材料会在接下来的工艺中被移除。然而在某些实施例中,广义地认为“移除”包括“蚀刻”。
接下来的说明中提到各种衬底的“区域”,具有场效器件形成其上。须了解的是这些“区域”可存在衬底的任何地方,且不具独占性。也就是说,在一些实施例中,一个或多个区域可部分重迭。虽然本发明说明书提到三个区域,但须了解的是衬底上可存在有任何数量的区域,并且可具有特定种类的器件或材料。一般而言,“区域”大多意指衬底上包括类似器件的地方,且不应受到所述实施例的限制。
专有名词“形成”、“沉积”和“设置”在此用来说明施加某一层材料于衬底之上。所述专有名词意指任何产生膜结构的技术,包括热生成、溅镀、蒸镀、化学气相沉积、外延成长、电镀等等。举例来说,根据不同实施例,沉积可由任何合适的公知的方法来实施,包括任何生长、覆盖,或传送材料到衬底上面的工艺。一些公知的技术包括物理气相沉积(PVD)、化学气相沉积(CVD)、电化学沉积(ECD)、分子束外延、原子层沉积、高密度等离子化学气相沉积和等离子增强化学气相沉积及其他未在此提及者。
本文中普遍使用的“衬底”一般认为是硅衬底。然而所述衬底也可为半导体族群中任何一种材料、例如锗、砷化镓、磷化铟等等。在其他实施例中,衬底也可为非导电性材料,例如玻璃或蓝宝石晶圆。
图1A、2A、3A、4A、5A、6A、7、8为示意性剖面图,剖面线为A-A’,用以说明根据本发明一实施例形成一接触洞图案阵列的制作流程。图1B、2B、3B、4B、5B、6B分别为图1A、2A、3A、4A、5A、6A的俯视图。剖面图为沿着相对应的俯视图中线A-A’所绘示。参考剖面图时请同时参考其相对应的俯视图,以更清楚的了解本发明实施例的内容。
以下提供的实施例是在衬底100中制作具有目标图案的接触洞图案阵列。首先,请参考图1A、1B,提供一衬底100,在衬底100上进行形成这些图案的工艺。当本发明应用在形成接触洞图案时,衬底100可以有四乙基氧化硅烷(TEOS)形成的氧化层、旋涂式玻璃(Spin-on-glass)、氮氧化硅、低温氧化层与 含硅底抗反射层或其他材料。或者,当应用在形成沟渠或浅沟渠隔离结构时,则衬底100可为包括n型源极/漏极区(图未示)的p型衬底p型井区,或为包括p型源极/漏极区(图未示)的n型衬底或n型井区。根据所述实施例,衬底100可包括硅衬底、具有硅的衬底、氮化镓覆硅(或其他III-V族材料)、石墨覆硅、硅覆绝缘层衬底或其他。
根据所述实施例,可选择性地在衬底上设置一硬遮罩层101,硬遮罩层101可包括任何适合在后续移除未被遮蔽部分的选择性蚀刻中做为遮蔽层的材料。在具有硬遮罩层101的实施例中,硬遮罩层101会在后续工艺中被图案化,作为选择性蚀刻衬底100形成图案时的阻挡层。硬遮罩层101可为氮化硅(SiN)层、碳氮化硅(SiCN)层、或者二氧化硅(SiO2)层,但不限于此。
在硬遮罩层101上形成核心层103,然后将核心层103图案化成一预定图案,例如所述实施例的圆柱体。在双重曝光技术中,所谓核心层是用来形成一内部核心,在后续工艺中可被外层的间隙层顺形地覆盖住。特定而言,核心层103,例如光刻胶层,通过旋转涂布在硬遮罩层101上形成。核心层103可为ArF光刻胶材料。接着,进行光刻工艺,例如通过具有曝光装置的光刻胶涂布与显影设备,将核心层103图案化。本技术领域的技术人员可选择适当的核心层103厚度与成形图案的节距。所述欲形成接触洞阵列的实施例中,核心层(或称之为柱状体核心)103较佳为均匀分布在硬遮罩层101或衬底100的圆柱体。柱状体核心103之间具有预定的间隙,例如为后续形成的间隙层厚度的两倍,以在双重曝光工艺中得到具有所需目标节距的图案。
请参考图2A和图2B。图案化核心层103后,接着在硬遮罩层与图案化核心层103上形成一间隙层105。如图2A所示,间隙层105顺形地沉积在图案化核心层(或称为柱状体核心)103与硬遮罩层101上。间隙层105可为氧化硅(SiO2)、氧化铝(AlxOy)、氮化铝(AlN)、氧化钛(TiOx)、氮化硅(SiN)、非晶相硅、多晶硅或其组合。优选地,前述工艺形成的间隙层105具有小于柱状体核心103的一半间距的厚度。换句话说,当间隙层105具有适当的厚度且柱状体核心103排列成合适的阵列时,间隙层105就像是包围柱状体核心103的较大的圆柱体,并且在由间隙层105覆盖形成的较大圆柱体之间包围出多个方形凹陷区域107,均匀地分布在柱状体阵列之间。本技术领域的技术人员可通过核心层103的阵列图案与间隙层105的厚度,选择适当的凹陷区域107的尺寸。 优选地,凹陷区域107的尺寸近似于柱状体核心103的尺寸,以得到具有较佳关键尺寸均匀度的目标图案。请注意,由于在下面的说明中,还会出现另一种形态的凹陷区域,在此形成的凹陷区域107在下面的说明中称之为第一凹陷区域107。
由图2B,可清楚的看到所述实施例中形成的第一凹陷区域107均匀地分布在柱状体核心103阵列之中。由于第一凹陷区域107与柱状体核心103的图案都会转移到目标图案上,因此第一凹陷区域107与柱状体核心103的布局方式,也为本实施例重要的特征。
所述实施例形成间隙层105的方法优选者可为原子层沉积法(ALD),但不限于此。原子层沉积法是重复将单层材料分子吸附在基材上的成膜过程,所形成的材料层具有高度阶梯覆盖性。原子层沉积法的优点为可精准控制成膜厚度、控制材料组成、具有高阶梯覆盖性,以及可提供多种沉积材料可选择。这些特性对双重曝光工艺技术而言非常重要。此外,原子层沉积法可在其他半导体器件工艺的温度条件下进行成膜反应形成薄膜,例如是在温度23℃至25℃之间。
请参考图3A和图3B。接着进行回蚀刻工艺,例如活性离子蚀刻(RIE),移除部分间隙层105,使原本被间隙层105覆盖的柱状体核心103暴露出来。须注意的是所述回蚀刻工艺也会移除位于第一凹陷区域107中硬遮罩层101上的间隙层105。未被移除的间隙层105包围柱状体核心103的侧壁与包围出第一凹陷区域107。
请参考图4A和图4B。接着移除位于硬遮罩层101上的柱状体核心103。根据所述实施例,移除柱状体核心103的方法可为,但不限于,灰化或蚀刻工艺。优选者,柱状体核心103的蚀刻速率大于间隙层105的蚀刻速率,因此在移除柱状体核心103,间隙层105仅被蚀刻掉一小部分,可精确地保留住原本的形状。
移除柱状体核心103后,原本的位置形成了一个圆形的凹陷区域,在此称之为第二凹陷区域109。由图4B,可清楚的看到所述实施例中,形成的第二凹陷区域109被间隙层105包围,并且均匀地分布在硬遮罩层101上。第二凹陷区域109与第一凹陷区域107具有不同的形状,其中第一凹陷区域107为接近方形,第二凹陷区域109为圆形。形成的凹陷区域具有不同的形状为公知的双重曝光技术面临的问题。
根据所述实施例,若第一凹陷区域107与第二凹陷区域109具有不同的形状,通过两者所形成的孔洞的关键尺寸统计分布图会非常不同。本发明并不直接通过第一凹陷区域107与第二凹陷区域109定义接触洞或介层插塞而得到目标图案。本发明是利用定向自组装(directed self-assembly,DSA)材料,修整凹陷区域的形状使制作出的孔洞的形状与关键尺寸具有较佳均匀度。
请参考图5A和图5B。得到被间隙层105包围的第一凹陷区域107与第二凹陷区域109后,接着在凹陷区域中填入定向自组装材料。定向自组装材料为具有特定配方或可能包括其他添加物的团联式共聚物(block co-polymers,BCPs)。定向自组装材料的反应可通过例如熟化或烘烤而被诱发,并且受到接触面的表面化学与团联式共聚物成分的影响。所述实施例中,定向自组装材料可为双团联式共聚物(di-block copolymer),在适当的诱导条件下使其进行自组装机制。下文为较详细的说明。
首先,将团联式式共聚物,例如聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA,莫耳质量为96kg/mol-35kg/mol,从聚合物源),旋转涂布在衬底上并且填入被间隙层105定义且包围的第一凹陷区域107与第二凹陷区域109中。在适当的活化条件下,双团联式共聚物的高分子链段会进行相分离,形成微相(也可称为微相分离范围或相分离区域),以减少总体自由能。通过上述特性,填充在第一凹陷区域107与第二凹陷区域109中的定向自组装材料在定向自组装过程中,会往第一凹陷区域107与第二凹陷区域10的交界处扩散,因而在第一凹陷区域107与第二凹陷区域109中形成具一致性的孔洞。
在所述实施例中,所述定向自组装材料可包括任何具有可形成微相且与另一不同的高分子链段连结的共聚物。所述高分子链段由可进行聚合反应的单体反应而成,可包括,但不限于,聚烯烃(polyolefin),其包括聚二烯(polydiene)、聚醚(polyether),其包括聚烯化氧(poly(alkylene oxide))如聚环氧乙烷(poly(ethylene oxide))、聚环氧丙烯(poly(propylene oxide))、聚环氧丁烷(poly(butylene oxide))、或以上的随机或团联共聚物、聚甲基丙烯酸甲酯(polymethylmethacrylate)、聚甲基丙烯酸酯(poly((meth)acrylates))、聚苯乙烯(polystyrene)、聚酯(polyesters)、聚有机硅氧烷(poly-organosiloxanes),或聚有机锗烷(polyorganogermanes),或者由其组合的团联共聚物。
请参考图6A和图6B。位于第一凹陷区域107与第二凹陷区域109中的 目标图案,即定向自组装材料111经过定向自组装过程后形成的孔洞112,被定向自组装材料包围且均匀地分布在硬遮罩层101上。定向自组装材料往边界处扩散,因此形成开口暴露出其下的硬遮罩层101。须注意的是,由于孔洞112是由位于凹陷区域中的定向自组装材料向边界扩散而得到,因此孔洞112的尺寸比原本的第一凹陷区域107与第二凹陷区域109更小。与公知的双重曝光技术比较,本发明形成的目标图案的形状和关键尺寸具有较佳一致性。更重要的是,本发明具有更小的特征尺寸。
请参考图7和图8。通过定向自组装材料形成较具一致性的孔洞图案112后,接着进行形成接触洞或介层插塞的工艺。如图7所示,以间隙层105与定向自组装材料111为蚀刻遮罩,将孔洞112的图案转移至衬底100上。在包括硬遮罩层101的实施例中,可用间隙层105与定向自组装材料111作为蚀刻遮罩,将孔洞112的图案转移到硬遮罩层101上。接着,如图8所示,再以图案化的硬遮罩层101为蚀刻阻挡层蚀刻衬底100,形成具有较佳关键尺寸一致性的接触洞或介层插塞孔洞。然后,可进行另外的回填工艺将孔洞填满,例如填入导电金属材料,形成接触洞或介层插塞结构。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (12)

1.一种形成图案的方法,其特征在于,包括:
在一衬底上形成一核心层,并且进行图案化工艺形成一图案化核心层;
在所述图案化核心层与所述衬底上顺形地形成一间隙层,并且形成多个由所述间隙层包围的第一凹陷区域;
进行一回蚀刻工艺,使所述图案化核心层与位于所述第一凹陷区域内的所述衬底暴露出来;
移除暴露出来的所述图案化核心层,形成多个被所述间隙层包围的第二凹陷区域;
在所述第一凹陷区域与所述第二凹陷区域中填入一定向自组装材料;以及
诱发所述定向自组装材料进行一定向自组装过程,扩散至所述第一凹陷区域与所述第二凹陷区域的边界处,在所述第一凹陷区域与所述第二凹陷区域内形成被所述定向自组装材料包围的一孔洞。
2.根据权利要求1所述的形成图案的方法,其特征在于,还包括:
以所述间隙层与留存的所述定向自组装材料为蚀刻阻挡层蚀刻所述衬底,将所述孔洞的图案转移到所述衬底上。
3.根据权利要求1所述的形成图案的方法,其特征在于,形成所述图案化核心层的步骤包括将所述核心层图案化成多个柱状体核心,均匀地分布在所述衬底上形成阵列。
4.根据权利要求3所述的形成图案的方法,其特征在于,所述第一凹陷区域均匀分布在所述柱状体核心的阵列之中,并且被所述间隙层包围。
5.根据权利要求3所述的形成图案的方法,其特征在于,所述第二凹陷区域均匀地分布在衬底上,并且被所述间隙层包围。
6.根据权利要求2所述的形成图案的方法,其特征在于,所述孔洞的图案为一接触洞的阵列。
7.根据权利要求6所述的形成图案的方法,其特征在于,所述孔洞的图案在所述定向自组装过程后,包括形状与尺寸一致的接触洞。
8.根据权利要求2所述的形成图案的方法,其特征在于,所述衬底还包括一最顶层的硬遮罩层,所述孔洞的图案转移到所述硬遮罩层而形成一图案化硬遮罩层。
9.根据权利要求8所述的形成图案的方法,其特征在于,还包括以所述图案化硬遮罩层为蚀刻阻挡层,蚀刻所述衬底。
10.根据权利要求1所述的形成图案的方法,其特征在于,所述间隙层是通过原子层沉积法形成。
11.根据权利要求1所述的形成图案的方法,其特征在于,所述定向自组装过程包括烘烤或退火工艺。
12.根据权利要求1所述的形成图案的方法,其特征在于,所述定向自组装材料包括聚苯乙烯、聚甲基丙烯酸甲酯、聚二烯、聚环氧乙烷、聚环氧丙烯、聚环氧丁烷、聚甲基丙烯酸酯、聚酯、聚有机硅氧烷或聚有机锗烷。
CN201510744838.6A 2015-06-23 2015-11-05 形成图案的方法 Pending CN106298463A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/746,849 2015-06-23
US14/746,849 US9530663B1 (en) 2015-06-23 2015-06-23 Method for forming a pattern

Publications (1)

Publication Number Publication Date
CN106298463A true CN106298463A (zh) 2017-01-04

Family

ID=57590189

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510744838.6A Pending CN106298463A (zh) 2015-06-23 2015-11-05 形成图案的方法

Country Status (3)

Country Link
US (1) US9530663B1 (zh)
CN (1) CN106298463A (zh)
TW (1) TWI579230B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216185A (zh) * 2017-07-03 2019-01-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN112185819A (zh) * 2019-07-05 2021-01-05 华通电脑股份有限公司 散热组件的制造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101950719A (zh) * 2009-07-10 2011-01-19 台湾积体电路制造股份有限公司 用于半导体集成电路的自组装图样
CN103035510A (zh) * 2011-10-08 2013-04-10 中芯国际集成电路制造(上海)有限公司 接触通孔刻蚀方法
CN103489839A (zh) * 2012-06-11 2014-01-01 南亚科技股份有限公司 硬掩模间隙壁结构及其制作方法
US20150151329A1 (en) * 2013-12-02 2015-06-04 Kabushiki Kaisha Toshiba Pattern Forming Method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200939297A (en) 2008-03-05 2009-09-16 Nanya Technology Corp Method for patterning two-dimensional islands
US8758987B2 (en) * 2009-09-02 2014-06-24 Micron Technology, Inc. Methods of forming a reversed pattern in a substrate
JP5973763B2 (ja) * 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
CN104380194B (zh) 2012-04-16 2019-05-31 布鲁尔科技公司 用于导向自组装的硅硬掩模层
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR20140129787A (ko) * 2013-04-30 2014-11-07 에스케이하이닉스 주식회사 하드마스크구조물 및 그를 이용한 반도체장치의 미세 패턴 형성 방법
US9291909B2 (en) * 2013-05-17 2016-03-22 Az Electronic Materials (Luxembourg) S.A.R.L. Composition comprising a polymeric thermal acid generator and processes thereof
US20140357083A1 (en) * 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
US9690192B2 (en) * 2014-04-21 2017-06-27 Jsr Corporation Composition for base, and directed self-assembly lithography method
CN105336571B (zh) * 2014-07-28 2018-06-01 中芯国际集成电路制造(上海)有限公司 自对准多重图形掩膜的形成方法
US9478435B2 (en) * 2014-08-07 2016-10-25 Tokyo Electron Limited Method for directed self-assembly and pattern curing
US20160049307A1 (en) * 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
CN105448736B (zh) * 2014-09-26 2019-01-22 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9530637B2 (en) * 2014-10-05 2016-12-27 Applied Materials, Inc. Fin structure formation by selective etching
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101950719A (zh) * 2009-07-10 2011-01-19 台湾积体电路制造股份有限公司 用于半导体集成电路的自组装图样
CN103035510A (zh) * 2011-10-08 2013-04-10 中芯国际集成电路制造(上海)有限公司 接触通孔刻蚀方法
CN103489839A (zh) * 2012-06-11 2014-01-01 南亚科技股份有限公司 硬掩模间隙壁结构及其制作方法
US20150151329A1 (en) * 2013-12-02 2015-06-04 Kabushiki Kaisha Toshiba Pattern Forming Method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216185A (zh) * 2017-07-03 2019-01-15 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN109216185B (zh) * 2017-07-03 2021-02-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN112185819A (zh) * 2019-07-05 2021-01-05 华通电脑股份有限公司 散热组件的制造方法

Also Published As

Publication number Publication date
TWI579230B (zh) 2017-04-21
TW201700396A (zh) 2017-01-01
US9530663B1 (en) 2016-12-27
US20160379836A1 (en) 2016-12-29

Similar Documents

Publication Publication Date Title
CN106298463A (zh) 形成图案的方法
KR101683326B1 (ko) 피치 분할 패터닝 방법
KR101477337B1 (ko) 자가-정렬 트렌치 형성
US7192873B1 (en) Method of manufacturing nano scale semiconductor device using nano particles
US10714343B1 (en) Semiconductor structure and method for forming same
TWI251296B (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
US10361080B2 (en) Patterning method
TWI694489B (zh) 半導體裝置的形成方法
KR20120081253A (ko) 타이트한 피치의 콘택트들을 포함하는 반도체 구조체 및 그의 형성 방법
JP2010503218A (ja) スタガードコンタクトを持つファインピッチアレイを含む半導体デバイスと、その設計および製造のための方法
US7786017B1 (en) Utilizing inverse reactive ion etching lag in double patterning contact formation
US20150279784A1 (en) Interconnect structure having large self-aligned vias
US20070013070A1 (en) Semiconductor devices and methods of manufacture thereof
US20070128823A1 (en) Method of fabricating semiconductor integrated circuit device
US8241512B2 (en) Ion implantation mask forming method
US11121026B2 (en) Semiconductor device and method of manufacture
US7615475B2 (en) Method for fabricating landing polysilicon contact structures for semiconductor devices
KR20080042565A (ko) 반도체 소자의 형성방법
US11647622B2 (en) Semiconductor structure having fin structures and method of manufacturing the same
CN111180386B (zh) 一种半导体器件中孔结构及其形成方法
KR100325601B1 (ko) 반도체 소자의 접촉구 형성 방법
CN114334816A (zh) 半导体结构的形成方法
US7585757B2 (en) Semiconductor device and method of manufacturing the same
KR100221606B1 (ko) 반도체장치의 배선들의 접촉 방법
TW202029352A (zh) 平面化半導體結構的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170104