CN106098768A - 交错型隧穿场效应晶体管 - Google Patents

交错型隧穿场效应晶体管 Download PDF

Info

Publication number
CN106098768A
CN106098768A CN201610278663.9A CN201610278663A CN106098768A CN 106098768 A CN106098768 A CN 106098768A CN 201610278663 A CN201610278663 A CN 201610278663A CN 106098768 A CN106098768 A CN 106098768A
Authority
CN
China
Prior art keywords
region
effect transistor
tunneling field
grid
epi
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610278663.9A
Other languages
English (en)
Other versions
CN106098768B (zh
Inventor
庄绍勋
谢易叡
张贯宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106098768A publication Critical patent/CN106098768A/zh
Application granted granted Critical
Publication of CN106098768B publication Critical patent/CN106098768B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66977Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/7311Tunnel transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Thin Film Transistor (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Materials Engineering (AREA)

Abstract

本发明描述了具有位于源极和漏极区域之间的重叠结构的隧穿场效应晶体管以提供更大的隧穿区域。源极或漏极区域可以是半导体衬底中的掺杂区域。可以通过在掺杂区域上方的外延沉积来形成其他源极或漏极区域。栅极形成在外延区域上方,其中掺杂和外延区域重叠。掺杂区域可形成在鳍结构中,外延区域和栅极形成在鳍的顶部和侧部上。本发明还提供了交错型隧穿场效应晶体管。

Description

交错型隧穿场效应晶体管
技术领域
本发明涉及隧穿场效应晶体管领域。
背景技术
从发明集成电路开始,目标就是使集成电路上的器件制造得越来越小。这在每个集成电路上提供了更多的功能。然而,随着器件尺寸达到几十纳米的级别并且操作电压下降到几十伏特,传统的MOSFET存在一些物理限制。因此,开发了其他类型晶体管。
一种类型的晶体管是隧穿场效应晶体管(TFET)。通过该晶体管,通过施加给隧穿区域附近的栅极的电压来调整隧穿区域。然而,本领域中这种器件的操作特性目前对于大多数商业应用来说是不可接受的。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种隧穿场效应晶体管,包括:掺杂区域,形成在半导体衬底中;外延区域,形成为在重叠区域中与所述掺杂区域重叠;以及栅极,形成为与所述重叠区域相邻;其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个,且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
根据本发明的另一方面,提供了一种隧穿场效应晶体管,包括:掺杂区域,形成在晶体硅衬底中;硅锗外延区域,形成为在重叠区域中与所述掺杂区域重叠;以及栅极,形成为与所述重叠区域相邻;其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
根据本发明的又一方面,提供了一种用于形成隧穿场效应晶体管的方法,包括:在半导体衬底中形成掺杂区域;沉积与所述掺杂区域重叠的外延区域以形成重叠区域;以及形成与所述重叠区域相邻的栅极;其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
附图说明
当结合附图阅读时,根据以下详细的描述来更好地理解本发明的各个方面。注意,根据工业的标准实践,各个部件没有按比例绘制。实际上,为了讨论的清楚,可以任意地增加或减小各个部件的尺寸。
图1是根据一些实施例的用于制造TFET的工艺流程图;
图2A至图2D是示出图1的工艺的侧视图;
图3是根据一些实施例的用于制造TFET的另一工艺流程图;
图4A至图4D是示出图3的工艺的侧视图;
图5是根据一些实施例的用于制造TFET的另一工艺流程图;
图6A至图6D是示出图5的工艺的侧视图;
图7是根据一些实施例的用于制造TFET的另一工艺流程图;
图8A至图8D是示出图7的工艺的侧视图;
图9是根据一些实施例的用于制造TFET的另一工艺流程图;
图10A至图10D是示出图7的工艺的修改的侧视图;
图11是根据一些实施例的用于制造TFET的工艺流程图;
图12A至图12I是示出图11的工艺的修改的侧视图;以及
图13是示出根据一些实施例的TFET的性能特性的示图。
具体实施方式
以下公开内容提供了用于实施本发明主题的不同特征的许多不同的实施例或实例。以下描述部件或配置的具体实例以简化本发明。当然,这些仅仅是实例而不用于限制。例如,在以下的描述中,在第二部件上方或之上形成第一部件可以包括第一部件和第二部件被形成为直接接触的实施例,并且也可以包括可以在第一部件和第二部件形成附件部件使得第一部件和第二部分没有直接接触的实施例。此外,本发明可以在各个实例中重复参考标号和/或字母。这些重复是为了简化和清楚的目的,其本身并不表示所讨论的各个实施例和/或结构之间的关系。
此外,为了易于描述,可以使用空间相对术语(诸如“在…下方”、“之下”、“下部”、“上方”、“上部”等)以描述图中所示一个元件或部件与另一个元件或部件的关系。除图中所示的定向之外,空间相对术语意欲还包括使用或操作中设备的不同定向。装置可以以其他方式定向(旋转90度或处于其他定向),本文所使用的空间相对描述符可因此进行类似的解释。
图1是示出根据一些实施例的工艺流程的流程图。图2A至图2D是示出图1的工艺步骤的侧视图。在步骤101中,使用传统光刻技术在衬底10的表面上形成掩模14。然后,执行掺杂物离子13的注入,并随后进行退火以形成源极区域12。在该实例中,衬底是晶体硅衬底,但是衬底可以是另一种半导体衬底,诸如晶体锗或III-V族半导体。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++掺杂级别。例如,可以在1000℃的温度下以0.1×1015至5×1015atoms/cm2(个原子/平方米)的剂量执行具有20KeV至40KeV的能量的注入大约10秒。
在步骤103和图2B中,如图2B所示形成并图案化厚氧化物层16。可以使用已知的化学气相沉积技术或热生长来形成氧化物层16。将氧化物层16用作掩模,使用外延沉积技术(诸如化学气相沉积(CVD))来形成漏极区域18。在该实例中,漏极区域18由SiGe形成,并且硅和锗的相对浓度在沉积期间变化以创建具有源极/漏极12的异质结。在一些实施例中,漏极区域18可以由Si、Ge、SiGe、SiC、GeSn、SiP或III-V族半导体材料组成。在图2A至图2D的实施例中,源极区域12和漏极区域18分别是指定的源极和漏极。然而,在其他实施例中,区域12可以用作漏极区域,并且区域18可用作源极。即,根据所选的掺杂和材料组成以及根据使用晶体管的电路的结构,任一区域可用作源极或漏极区域。
在步骤105和图2C中,如图2C所示形成并图案化厚氧化物层20。在该实例中,氧化物层20通过化学气相沉积(CVD)技术形成并且使用标准的光刻技术来图案化。接下来,在氧化物层16和20之间的开口中,形成栅极介电质22。在图2C中,栅极介电质22仅示出为位于氧化物区域16和20之间的开口的底部中。然而,在一些实施例中,介电层22还可以形成在氧化物区域16和20的侧部。在一些实施例中,栅极介电层22可以包括氧化硅、氮化硅、氮氧化硅或高介电常数(高k)介电质。高k介电质包括金属氧化物。用于高k介电质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在一些实施例中,栅极介电层22具有大约10埃至大约30埃范围内的厚度。在该实例中,栅极介电质是使用ALD形成的高K介电材料。
然后,在栅极介电质22上形成栅电极24。栅电极24可以是掺杂多晶硅或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中所选择的金属。在一些实施例中,栅电极层24具有大约30nm至大约60nm范围内的厚度。可以使用适当的工艺来形成栅电极层24,诸如ALD、CVD、物理气相沉积(PVD)、镀或它们的组合。
在步骤107和图2D中,分别在氧化物层16和20中形成源极和漏极接触开口。在其中形成源极接触件26和漏极接触件28。使用标准的光刻和蚀刻技术来制造开口。在一个实例中,源极接触件26和漏极接触件28包括势垒层以加衬里于接触件开口,随后沉积导电层以填充接触件开口。势垒层可以提升导电层的附着力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。在一些实施例中,导电层的厚度在大约100nm至大约200nm的范围内。
在填充接触件开口之后,执行诸如化学机械抛光(CMP)工艺的平坦化工艺以去除接触件开口之外的势垒层和导电层,从而提供图2D所示的平坦结构。
图3是另一示例性工艺流程图。图4A至图4D是示出图3的工艺的侧视图。与图2A至图2D的工艺相同的元件具有相同的参考标号。在步骤201中,使用传统光刻技术在衬底10的表面上形成掩模14。然后执行掺杂物离子13的注入,随后进行退火以形成源极区域12。在该实例中,衬底是晶体硅衬底。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++掺杂级别。例如,在1000℃的温度下以0.1×1015至5×1015atoms/cm2的剂量执行具有20KeV至40KeV的能量的注入大约10秒。
在步骤203和图4B中,如图4B所示形成并图案化厚氧化物层16。可以使用已知的化学气相沉积技术或热生长来形成氧化物层16。将氧化物层16用作掩模,使用普通的蚀刻技术来去除衬底10的一部分。蚀刻工艺可以是使用SF6、SiCl4、C4F8、CH4、H2或其他已知蚀刻气体的反应离子蚀刻。优选地,选择蚀刻工艺参数以提供实用各向异性的蚀刻。在步骤205中,然后使用外延沉积技术(诸如ALD)来形成漏极区域18。在该实例中,漏极区域18由SiGe形成,并且硅和锗的相对浓度在沉积期间变化以与源极12一起创建异质结。在一些实施例中,漏极区域18可以由Si、Ge、SiGe、SiC、GeSn、SiP或III-V族半导体材料组成。通过蚀刻衬底10,漏极区域18的顶部随后基本与衬底10的顶部平齐。这种相对平坦性帮助随后的制造步骤。
在步骤207和图4C中,如图4C所示形成并图案化厚氧化物层20。在该实例中,通过化学气相沉积(CVD)技术形成氧化物层20并且使用标准的光刻技术来图案化氧化物层20。接下来,在氧化物层16和20之间的开口中,形成栅极介电质22。在一些实施例中,栅极介电层22可以包括氧化硅、氮化硅、氮氧化硅或高介电常数(高k)介电质。高k介电质包括金属氧化物。用于高k介电质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在一些实施例中,栅极介电层22具有大约10埃至大约30埃范围内的厚度。在该实例中,栅极介电质是使用ALD形成的高K介电材料。
然后,在栅极介电质22上形成栅电极24。栅电极24可以是掺杂多晶硅或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中选择的金属。在一些实施例中,栅电极层24具有大约30nm至大约60nm范围内的厚度。可以使用适当的工艺来形成栅电极层24,诸如ALD、CVD、物理气相沉积(PVD)、镀或它们的组合。
在步骤209和图4D中,分别在氧化物层16和20中形成源极和漏极接触件开口。在其中形成源极接触件26和漏极接触件28。使用标准的光刻和蚀刻技术来制造开口。在一个实例中,源极接触件26和漏极接触件28包括势垒层以加衬里于接触件开口,随后沉积导电层以填充接触件开口。势垒层可以提升导电层的粘附力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。在一些实施例中,导电层的厚度在大约100nm至大约200nm的范围内。
在填充接触件开口之后,执行诸如化学机械抛光(CMP)工艺的平坦化工艺以去除接触件开口之外的势垒层和导电层,从而提供图4D所示的平坦结构。
图5是另一示例性工艺流程图。图6A至图6D是示出图5的工艺的侧视图。与图4A至图4D的工艺相同的元件具有相同的参考标号。在步骤301中,使用传统光刻技术在衬底10的表面上形成掩模14。此外,通过沉积层并使用诸如RIE的工艺各向异性地蚀刻该层来形成侧壁间隔件15。该层的组成不是很严格,因为侧壁间隔件15随后被去除。示例性材料可以是二氧化硅、氮化硅或聚酰亚胺。然后执行掺杂物离子13的注入,随后进行退火以形成源极区域12。在该实例中,衬底是晶体硅衬底。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++掺杂级别。例如,在1000℃的温度下以0.1×1015至5×1015atoms/cm2的剂量执行具有20KeV至40KeV的能量的注入大约10秒。
在步骤303和图6B中,如图6B所示形成并图案化厚氧化物层16。可以使用已知的化学气相沉积技术或热生长来形成氧化物层16。将氧化物层16用作掩模,然后使用外延沉积技术(诸如ALD)形成漏极区域18。在该实例中,漏极区域18由SiGe形成,并且硅和锗的相对浓度在沉积期间变化以与源极12一起创建异质结。在一些实施例中,漏极区域18可以由Si、Ge、SiGe、SiC、SiP或III-V族半导体材料组成。
在步骤305和图6C中,如图6C所示形成并图案化厚氧化物层20。在该实例中,通过化学气相沉积(CVD)技术形成氧化物层20并且使用标准的光刻技术来图案化氧化物层20。接下来,在氧化物层16和20之间的开口中,形成栅极介电质22。在一些实施例中,栅极介电层22可以包括氧化硅、氮化硅、氮氧化硅或高介电常数(高k)介电质。高k介电质包括金属氧化物。用于高k介电质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在图6C中,栅极氧化物层22被示出为仅位于氧化物层16和20之间的间隙的底部中。然而,栅极氧化物层还可以延伸到氧化物层16和20的侧部。在一些实施例中,栅极介电层22具有大约10埃至大约30埃范围内的厚度。在该实例中,栅极介电质是使用ALD形成的高K介电材料。
然后,在栅极介电质22上形成栅电极24。栅电极24可以是掺杂多晶硅或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中选择的金属。在一些实施例中,栅电极层24具有大约30nm至大约60nm范围内的厚度。可以使用适当的工艺来形成栅电极层24,诸如ALD、CVD、PVD、镀或它们的组合。
在步骤307和图6D中,分别在氧化物层16和20中形成源极和漏极接触件开口。在其中形成源极接触件26和漏极接触件28。使用标准的光刻和蚀刻技术来制造开口。在一个实例中,源极接触件26和漏极接触件28包括势垒层以加衬里于接触件开口,随后沉积导电层以填充接触件开口。势垒层可以提升导电层的粘附力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。在一些实施例中,导电层的厚度在大约100nm至大约200nm的范围内。
在填充接触件开口之后,执行诸如CMP的平坦化工艺以去除接触件开口之外的势垒层和导电层,从而提供图6D所示的平坦结构。通过使源极12与栅极24的边缘偏离,对源极12和漏极18之间的所有结施加更加均匀的电场,由此响应于施加的栅极电压提供更好的隧穿行为。
图7是另一示例性工艺流程图。图8A至图8D是示出图7的工艺的侧视图。与图6A至图6D的工艺相同的元件具有相同的参考标号。在步骤401中,使用传统光刻技术在衬底10的表面上形成掩模14。此外,通过沉积层并使用诸如RIE的工艺各向异性地蚀刻该层来形成侧壁间隔件15。然后执行掺杂物离子13的注入,随后进行退火以形成源极区域12。在该实例中,衬底是晶体硅衬底。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++掺杂级别。例如,在1000℃的温度下以0.1×1015至5×1015atoms/cm2的剂量执行具有20KeV至40KeV的能量的注入大约10秒。
在步骤403和图8B中,如图8B所示形成并图案化厚氧化物层16。可以使用已知的化学气相沉积技术或热生长来形成氧化物层16。通过沉积例如使用CVD沉积的二氧化硅层然后各向异性地蚀刻所沉积的层来形成侧壁间隔件17。将氧化物层16和侧壁间隔件17用作掩模,然后使用外延沉积技术(诸如ALD)形成漏极区域18。在该实例中,漏极区域18由SiGe形成,并且硅和锗的相对浓度在沉积期间变化以与源极12一起创建异质结。在一些实施例中,漏极区域18可以由Si、Ge、SiGe、SiC、GeSn、SiP或III-V族半导体材料组成。
在步骤405和图8C中,如图8C所示形成并图案化厚氧化物层20。在该实例中,通过化学气相沉积(CVD)技术形成氧化物层20并且使用标准的光刻技术来图案化氧化物层20。接下来,在氧化物层16和20之间的开口中,形成栅极介电质22。在一些实施例中,栅极介电层22可以包括氧化硅、氮化硅、氮氧化硅或高介电常数(高k)介电质。高k介电质包括金属氧化物。用于高k介电质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在一些实施例中,栅极介电层22具有大约10埃至大约30埃范围内的厚度。在该实例中,栅极介电质是使用原子层沉积(ALD)形成的高K介电材料。
然后,在栅极介电质22上形成栅电极24。栅电极24可以是掺杂多晶硅或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中选择的金属。在一些实施例中,栅电极层24具有大约30nm至大约60nm范围内的厚度。可以使用适当的工艺来形成栅电极层24,诸如ALD、CVD、物理气相沉积(PVD)、镀或它们的组合。由于栅电极24延伸到源极区域12和漏极区域18之间的隧穿界面区域外,所以可以向该隧穿界面施加更加均匀的电场,由此提高了性能。
在步骤407和图8D中,分别在氧化物层16和20中形成源极和漏极接触件开口。在其中形成源极接触件26和漏极接触件28。使用标准的光刻和蚀刻技术来制造开口。在一个实例中,源极接触件26和漏极接触件28包括势垒层以加衬里于接触件开口,随后沉积导电层以填充接触件开口。势垒层可以提升导电层的粘附力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。在一些实施例中,导电层的厚度在大约100nm至大约200nm的范围内。
在填充接触件开口之后,执行诸如CMP的平坦化工艺以去除接触件开口之外的势垒层和导电层,从而提供图8D所示的平坦结构。通过使源极12和漏极18与栅极24的边缘偏离,向源极12和漏极18之间的所有隧穿结施加更加均匀的电场,由此响应于施加的栅极电压提供更好的隧穿行为。
图9是另一示例性工艺流程图。图10A至图10D是示出图9的工艺的侧视图。与图8A至图8D的工艺相同的元件具有相同的参考标号。在步骤451中,使用传统光刻技术在衬底10的表面上形成掩模14。此外,通过沉积层并使用诸如RIE的工艺各向异性地蚀刻该层来形成侧壁间隔件15。然后执行掺杂物离子13的注入,随后进行退火以形成源极区域12。在该实例中,衬底是晶体硅衬底。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++掺杂级别。例如,在1000℃的温度下以0.1×1015至5×1015atoms/cm2的剂量执行具有20KeV至40KeV的能量的注入大约10秒。
在步骤453和图10B中,如图10B所示形成并图案化厚氧化物层16。可以使用已知的化学气相沉积技术或热生长来形成氧化物层16。通过沉积层并沉积例如使用诸如RIE的工艺各向异性地蚀刻该层来形成侧壁间隔件17。将氧化物层16和侧壁间隔件17用作掩模,然后使用外延沉积技术(诸如ALD)形成漏极区域18。在该实例中,漏极区域18由SiGe形成,并且硅和锗的相对浓度在沉积期间变化以与源极12一起创建异质结。在一些实施例中,漏极区域18可以由Si、Ge、SiGe、SiC、SiP或III-V族半导体材料组成。
在步骤455和图10C中,如图10C所示形成并图案化厚氧化物层20。在该实例中,通过化学气相沉积(CVD)技术形成氧化物层20并且使用标准的光刻技术来图案化氧化物层20。接下来,在氧化物层16和20之间的开口中,形成栅极介电质22。在一些实施例中,栅极介电层22可以包括氧化硅、氮化硅、氮氧化硅或高介电常数(高k)介电质。高k介电质包括金属氧化物。用于高k介电质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在一些实施例中,栅极介电层22具有大约10埃至大约30埃范围内的厚度。在该实例中,栅极介电质是使用原子层沉积(ALD)形成的高K介电材料。
然后,在栅极介电质22上形成栅电极24。栅电极24可以是掺杂多晶硅或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中选择的金属。在一些实施例中,栅电极层24具有大约30nm至大约60nm范围内的厚度。可以使用适当的工艺来形成栅电极层24,诸如ALD、CVD、物理气相沉积(PVD)、镀或它们的组合。
在步骤457和图10D中,分别在氧化物层16和20中形成源极和漏极接触件开口。在其中形成源极接触件26和漏极接触件28。使用标准的光刻和蚀刻技术来制造开口。在一个实例中,源极接触件26和漏极接触件28包括势垒层以加衬里于接触件开口,随后沉积导电层以填充接触件开口。势垒层可以提升导电层的粘附力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。在一些实施例中,导电层的厚度在大约100nm至大约200nm的范围内。
在填充接触件开口之后,执行诸如CMP的平坦化工艺以去除接触件开口之外的势垒层和导电层,从而提供图8D所示的平坦结构。通过使源极12和漏极18与栅极24的边缘偏离,向源极12和漏极18之间的所有隧穿结施加更加均匀的电场,由此响应于施加的栅极电压提供更好的隧穿行为。
图11是例如具有鳍形结构的TFET的工艺流程图。图12A至图12H是图11所示工艺的侧视图。在步骤501和图12A中,在衬底100的表面上生长牺牲氧化物102,在该实例中衬底100为晶体硅衬底。沉积并然后使用标准的光刻技术来图案化掩模层106。使用掩模层106,如图12B所示执行注入108以形成源极区域111。可以从任何已知的掺杂物原子中选择掺杂物。在该实例中,源极区域12被掺杂到P++级别。例如,在1000℃的温度下以0.1×1015至5×1015atoms/cm2的剂量执行具有20KeV至40KeV的能量的注入大约10秒。然后去除掩模层106。
在图12B所示的步骤503中,在衬底100的表面上形成厚氧化物层110。例如使用等离子体增强化学气相沉积(PECVD),例如氧化物层110形成至100nm的厚度。在步骤505中,然后如图12C所示图案化厚氧化物110。图案的中心部分限定鳍的位置,并且例如为100-150nm长、50-70nm宽。在步骤507中,将图案化的厚氧化物层110用作掩模,例如使用RIE在衬底100中蚀刻开口112。
在步骤509中,如图12E所示,使用用于形成浅沟槽隔离的传统技术在开口112的底部中形成隔离氧化物区域114。隔离氧化物区域114的顶部低于漏极层118的顶部以允许栅极堆叠件120(下面进行描述)尽可能多地调整源极/漏极隧穿界面,由此使得源极-漏极电流最大。然后,图案化和蚀刻氧化物层110,使得氧化物层110覆盖将成为栅极和漏极区域的部分被去除,如图12F所示,在源极区域111中将形成接触件的部分上留下盖116。
在步骤511中,如图12G所示,诸如ALD的选择性外延生长工艺用于在衬底110的露出表面上形成漏极层118。在该实例中,漏极层118包括SiGe,并且Si和Ge的相对浓度在沉积期间变化以与源极区域一起创建异质结。漏极层118的厚度可以为3nm至10nm。然后使用传统的蚀刻技术去除盖116。在步骤515中,如图12H所示,随后形成并图案化栅极堆叠件120。为了形成栅极堆叠件120,首先使用ALD将高K栅极介电质沉积到的厚度。高k介电材料包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。然后通过ALD形成导电栅极。导电栅极可以是掺杂多晶硅,或者可以包括从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、WN、TaN和Ru或者它们的组合的组中选择的金属。在一些实施例中,导电栅极具有大约30nm至大约60nm范围内的厚度。
在步骤517中,如图12I所示,分别在源极区域111和漏极区域118上沉积并图案化源极接触件122和漏极接触件124。在一个实例中,源极接触件122和漏极接触件124包括势垒层和随后沉积的导电层。势垒层可提升导电层的粘附力。此外,如果导电层由扩散元素(诸如Cu)制成,则势垒层阻挡扩散元素进入相邻的层或结构中。在一些实施例中,势垒层包括Ti、TiN、Ta、TaN或它们的组合。可通过PVD、ALD或其他可应用的工艺来形成势垒层。在一些实施例中,层的厚度在大约1nm至大约10nm的范围内。
导电层可以由任何导电金属或金属合金制成。适当的导电金属的实例包括但不限于Cu、Al、W、Pt、Au、Ag等。可以通过镀、PVD、ALD或其他可应用的工艺来形成导电层。
图13是示出前述实例相对于传统的TFET的改进性能的示图。线300示出了传统TFET的阈值性能(图的左手侧为p型,右手侧为n型)。线400示出了根据上述实例的重叠或交错TFET的性能,其具有简单的PN型隧穿势垒。这示出了相对于传统TFET驱动电流近似增加了五倍。线500示出了根据上述实例的TFET,其中使用SiGe在硅衬底上形成漏极。这示出了相对于传统TFET驱动电流近似增加了十七倍。线600示出了根据上述实例的TFET,其中硅衬底上的SiGe漏极具有从SiGe转换为硅的异质结轮廓。可以看出,这提供了相对于传统TFET驱动电流的幅值近似增加了四阶。
上述实例示出了用于制造交错或重叠结构的隧穿场效应晶体管的结构和工艺。工艺与目前的CMOS制造技术兼容,由此减小了将这种新晶体管结合到目前的生产设备中的成本。更重要的,应用在本说明书中教导的技术可以相对于传统的TFET提供驱动电流幅值增加四阶的TFET。这种较大的驱动电流与低阈值摆动(图13)和低阈值电压一起使得本文描述的实例在用于高密度、低功率的集成电路方面更具吸引力。
所描述的隧穿场效应晶体管包括形成在半导体衬底中的掺杂区域。外延区域形成为在重叠区域中与掺杂区域重叠。栅极形成为与重叠区域相邻。掺杂区域用作隧穿场效应晶体管的漏极且外延区域用作源极。
优选地,在隧穿场效应晶体管中,所述外延区域是化合物半导体。
优选地,隧穿场效应晶体管还包括夹置在所述栅极和所述重叠区域之间的栅极绝缘体。
优选地,在隧穿场效应晶体管中,所述栅极位于所述外延区域上方,并且所述掺杂区域位于所述外延区域下方。
优选地,在隧穿场效应晶体管中,在从所述衬底的表面蚀刻的凹陷中形成所述外延区域。
优选地,在隧穿场效应晶体管中,在所述衬底的表面上的鳍形结构中形成所述掺杂区域,在所述鳍形结构的顶部和侧部上方形成所述外延区域,并且在所述外延区域的顶部和侧部上方形成所述栅极。
所描述的隧穿场效应晶体管包括形成在晶体硅衬底中的掺杂区域。硅锗外延区域形成为在重叠区域中与掺杂区域重叠。栅极形成为与重叠区域相邻。掺杂区域用作隧穿场效应晶体管的漏极且外延区域用作源极。
优选地,在隧穿场效应晶体管中,所述掺杂区域和所述外延区域之间的界面是异质结。
优选地,隧穿场效应晶体管还包括夹置在所述栅极和所述重叠区域之间的栅极绝缘体。
优选地,在隧穿场效应晶体管中,所述栅极位于所述外延区域的上方,并且所述掺杂区域位于所述外延区域的下方。
优选地,在隧穿场效应晶体管中,在所述衬底的表面的凹陷中形成所述外延区域。
优选地,在隧穿场效应晶体管中,在所述衬底的表面上的鳍形结构中形成所述掺杂区域,在所述鳍形结构的顶部和侧部上方形成所述外延区域,并且在所述外延区域的顶部和侧部上方形成所述栅极。
所描述的用于形成隧穿场效应晶体管的方法包括在半导体衬底中形成掺杂区域。沉积与掺杂区域重叠的外延区域以形成重叠区域。栅极形成为与重叠区域相邻。掺杂区域用作隧穿场效应晶体管的漏极且外延区域用作源极。
优选地,在用于形成隧穿场效应晶体管的方法中,通过向所述衬底中注入离子来形成所述掺杂区域。
优选地,用于形成隧穿场效应晶体管的方法还包括:在形成所述栅极之前,在所述外延区域上形成栅极绝缘体。
优选地,在用于形成隧穿场效应晶体管的方法中,所述栅极绝缘体包括高K介电材料。
优选地,在用于形成隧穿场效应晶体管的方法中,控制所述掺杂区域的掺杂轮廓和所述外延区域的组成,以在所述掺杂区域和所述外延区域之间提供异质结。
优选地,用于形成隧穿场效应晶体管的方法还包括在所述衬底中形成鳍形结构,其中,在所述鳍形结构中形成所述掺杂区域;在所述鳍形结构的顶部和侧部上形成所述外延区域,并且在所述外延区域的顶部和侧部上形成所述栅极。
优选地,在用于形成隧穿场效应晶体管的方法中,所述衬底包括晶体硅,并且所述外延区域包括硅锗。
优选地,在用于形成隧穿场效应晶体管的方法中,所述掺杂区域与所述栅极的第一端横向隔开,并且所述外延区域与所述栅极的第二端横向隔开。
上面论述了多个实施例的特征使得本领域技术人员能够更好地理解本发明的各个方面。本领域技术人员应该理解,他们可以容易地以本公开为基础设计或修改用于执行与本文所述实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员还应该意识到,这些等效结构不背离本发明的精神和范围,并且可以在不背离本发明的精神和范围的情况下做出各种变化、替换和改变。

Claims (10)

1.一种隧穿场效应晶体管,包括:
掺杂区域,形成在半导体衬底中;
外延区域,形成为在重叠区域中与所述掺杂区域重叠;以及
栅极,形成为与所述重叠区域相邻;
其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个,且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
2.根据权利要求1所述的隧穿场效应晶体管,其中,所述外延区域是化合物半导体。
3.根据权利要求1所述的隧穿场效应晶体管,还包括夹置在所述栅极和所述重叠区域之间的栅极绝缘体。
4.根据权利要求1所述的隧穿场效应晶体管,其中,所述栅极位于所述外延区域上方,并且所述掺杂区域位于所述外延区域下方。
5.根据权利要求1所述的隧穿场效应晶体管,其中,在从所述衬底的表面蚀刻的凹陷中形成所述外延区域。
6.根据权利要求1所述的隧穿场效应晶体管,其中,在所述衬底的表面上的鳍形结构中形成所述掺杂区域,在所述鳍形结构的顶部和侧部上方形成所述外延区域,并且在所述外延区域的顶部和侧部上方形成所述栅极。
7.一种隧穿场效应晶体管,包括:
掺杂区域,形成在晶体硅衬底中;
硅锗外延区域,形成为在重叠区域中与所述掺杂区域重叠;以及
栅极,形成为与所述重叠区域相邻;
其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
8.根据权利要求7所述的隧穿场效应晶体管,其中,所述掺杂区域和所述外延区域之间的界面是异质结。
9.根据权利要求7所述的隧穿场效应晶体管,还包括夹置在所述栅极和所述重叠区域之间的栅极绝缘体。
10.一种用于形成隧穿场效应晶体管的方法,包括:
在半导体衬底中形成掺杂区域;
沉积与所述掺杂区域重叠的外延区域以形成重叠区域;以及
形成与所述重叠区域相邻的栅极;
其中,所述掺杂区域用作所述隧穿场效应晶体管的源极和漏极中的一个且所述外延区域用作所述隧穿场效应晶体管的所述源极和漏极中的另一个。
CN201610278663.9A 2015-04-30 2016-04-28 交错型隧穿场效应晶体管 Active CN106098768B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/700,187 2015-04-30
US14/700,187 US10504721B2 (en) 2015-04-30 2015-04-30 Staggered-type tunneling field effect transistor

Publications (2)

Publication Number Publication Date
CN106098768A true CN106098768A (zh) 2016-11-09
CN106098768B CN106098768B (zh) 2020-07-10

Family

ID=57205157

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610278663.9A Active CN106098768B (zh) 2015-04-30 2016-04-28 交错型隧穿场效应晶体管

Country Status (2)

Country Link
US (5) US10504721B2 (zh)
CN (1) CN106098768B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018094619A1 (zh) * 2016-11-23 2018-05-31 华为技术有限公司 一种隧穿晶体管及其制备方法
CN108735807A (zh) * 2017-04-19 2018-11-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109690786A (zh) * 2017-08-18 2019-04-26 华为技术有限公司 异质结遂穿场效应晶体管及其制备方法
CN112292762A (zh) * 2017-11-29 2021-01-29 国立研究开发法人科学技术振兴机构 隧穿场效应晶体管
WO2023226043A1 (zh) * 2022-05-27 2023-11-30 京东方科技集团股份有限公司 隧穿场效应晶体管及其制备方法、显示面板、显示装置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276663B2 (en) * 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
WO2020062275A1 (zh) * 2018-09-30 2020-04-02 华为技术有限公司 栅控二极管及芯片

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617643B1 (en) * 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US20060258072A1 (en) * 2005-05-13 2006-11-16 Kavalieros Jack T Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
CN101740621A (zh) * 2008-11-18 2010-06-16 台湾积体电路制造股份有限公司 具有金属源极的隧道场效应晶体管
CN104332500A (zh) * 2014-09-04 2015-02-04 北京大学 一种阻变栅隧穿场效应晶体管及制备方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4243997A (en) * 1976-03-25 1981-01-06 Tokyo Shibaura Electric Co., Ltd. Semiconductor device
JP2508818B2 (ja) * 1988-10-03 1996-06-19 三菱電機株式会社 半導体装置の製造方法
US5238857A (en) * 1989-05-20 1993-08-24 Fujitsu Limited Method of fabricating a metal-oxide-semiconductor device having a semiconductor on insulator (SOI) structure
JP2968078B2 (ja) * 1991-04-09 1999-10-25 沖電気工業株式会社 Mosトランジスタの製造方法
JPH0574806A (ja) * 1991-09-13 1993-03-26 Hitachi Ltd 半導体装置及びその製造方法
US5583059A (en) * 1994-06-01 1996-12-10 International Business Machines Corporation Fabrication of vertical SiGe base HBT with lateral collector contact on thin SOI
US6177303B1 (en) * 1998-09-28 2001-01-23 U.S. Philips Corporation Method of manufacturing a semiconductor device with a field effect transistor
JP3833903B2 (ja) * 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
JP4322414B2 (ja) 2000-09-19 2009-09-02 株式会社ルネサステクノロジ 半導体装置
US7955646B2 (en) * 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR101155097B1 (ko) * 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
EP1900681B1 (en) 2006-09-15 2017-03-15 Imec Tunnel Field-Effect Transistors based on silicon nanowires
JP4282708B2 (ja) * 2006-10-20 2009-06-24 株式会社東芝 窒化物系半導体装置
US7851853B2 (en) * 2006-12-08 2010-12-14 Sharp Kabushiki Kaisha Semiconductor device comprising high-withstand voltage MOSFET and its manufacturing method
JP2008252086A (ja) 2007-03-12 2008-10-16 Interuniv Micro Electronica Centrum Vzw ゲートトンネル障壁を持つトンネル電界効果トランジスタ
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
DE102007028601A1 (de) 2007-06-19 2008-12-24 Evonik Röhm Gmbh Reaktivgemisch zur Beschichtung von Formkörpern mittels Reaktionsspritzguss sowie beschichteter Formkörper
US8384122B1 (en) * 2008-04-17 2013-02-26 The Regents Of The University Of California Tunneling transistor suitable for low voltage operation
EP2148374A1 (en) 2008-07-23 2010-01-27 University College Cork-National University of Ireland, Cork A tunnel nanowire transistor
US7777282B2 (en) * 2008-08-13 2010-08-17 Intel Corporation Self-aligned tunneling pocket in field-effect transistors and processes to form same
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
US9577079B2 (en) * 2009-12-17 2017-02-21 Infineon Technologies Ag Tunnel field effect transistors
US9373186B2 (en) * 2010-06-14 2016-06-21 Nintendo Co., Ltd. Device and method utilizing animated frames to dynamically create snapshots for selectable menus
US8258031B2 (en) 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US8216906B2 (en) 2010-06-30 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing integrated circuit device with well controlled surface proximity
JP2012054504A (ja) * 2010-09-03 2012-03-15 Sony Corp 半導体装置およびその製造方法
US8629014B2 (en) * 2010-09-20 2014-01-14 International Business Machines Corporation Replacement metal gate structures for effective work function control
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
JP5383732B2 (ja) 2011-03-09 2014-01-08 株式会社東芝 半導体装置
EP2528099B1 (en) 2011-05-23 2015-03-04 Imec Line- tunneling Tunnel Field-Effect Transistor (TFET) and manufacturing method
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US9076817B2 (en) * 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8471329B2 (en) 2011-11-16 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel FET and methods for forming the same
US8586993B2 (en) 2012-02-28 2013-11-19 Infineon Technologies Austria Ag Normally-off compound semiconductor tunnel transistor
CN102832256A (zh) 2012-09-07 2012-12-19 北京大学 隧穿场效应晶体管
CN103839816B (zh) 2012-11-25 2019-04-19 中国科学院微电子研究所 半导体器件及其制造方法
CN102945861B (zh) 2012-11-26 2015-12-23 北京大学 条形栅调制型隧穿场效应晶体管及其制备方法
US8933435B2 (en) * 2012-12-26 2015-01-13 Globalfoundries Singapore Pte. Ltd. Tunneling transistor
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
US9111867B2 (en) * 2013-08-30 2015-08-18 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9257289B2 (en) * 2014-03-05 2016-02-09 International Business Machines Corporation Lowering parasitic capacitance of replacement metal gate processes
US10026830B2 (en) * 2015-04-29 2018-07-17 Stmicroelectronics, Inc. Tunneling field effect transistor (TFET) having a semiconductor fin structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617643B1 (en) * 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
US20060258072A1 (en) * 2005-05-13 2006-11-16 Kavalieros Jack T Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
CN101740621A (zh) * 2008-11-18 2010-06-16 台湾积体电路制造股份有限公司 具有金属源极的隧道场效应晶体管
CN104332500A (zh) * 2014-09-04 2015-02-04 北京大学 一种阻变栅隧穿场效应晶体管及制备方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018094619A1 (zh) * 2016-11-23 2018-05-31 华为技术有限公司 一种隧穿晶体管及其制备方法
CN108735807A (zh) * 2017-04-19 2018-11-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109690786A (zh) * 2017-08-18 2019-04-26 华为技术有限公司 异质结遂穿场效应晶体管及其制备方法
CN109690786B (zh) * 2017-08-18 2021-05-18 华为技术有限公司 异质结遂穿场效应晶体管及其制备方法
CN112292762A (zh) * 2017-11-29 2021-01-29 国立研究开发法人科学技术振兴机构 隧穿场效应晶体管
CN112292762B (zh) * 2017-11-29 2023-11-03 国立研究开发法人科学技术振兴机构 隧穿场效应晶体管
WO2023226043A1 (zh) * 2022-05-27 2023-11-30 京东方科技集团股份有限公司 隧穿场效应晶体管及其制备方法、显示面板、显示装置

Also Published As

Publication number Publication date
US11133182B2 (en) 2021-09-28
US20200043726A1 (en) 2020-02-06
US20200043727A1 (en) 2020-02-06
US10504721B2 (en) 2019-12-10
CN106098768B (zh) 2020-07-10
US11139165B2 (en) 2021-10-05
US20160322460A1 (en) 2016-11-03
US20200020526A1 (en) 2020-01-16
US20200020525A1 (en) 2020-01-16
US11133184B2 (en) 2021-09-28
US11133183B2 (en) 2021-09-28

Similar Documents

Publication Publication Date Title
CN106098768A (zh) 交错型隧穿场效应晶体管
CN105097555B (zh) FinFET及其制造方法
CN103811550B (zh) 半导体器件的接触结构
CN103928515B (zh) 半导体器件及其制造方法
CN104701310B (zh) 具有轮廓化功函金属栅电极的半导体器件及其制造方法
CN103855011B (zh) FinFET及其制造方法
CN108122980A (zh) 半导体装置
US9490345B2 (en) Semiconductor device and manufacturing method thereof
CN105280691B (zh) 金属栅极结构及其制造方法
CN107464756A (zh) 用于减小半导体制造工艺中的接触电阻的方法
US11302813B2 (en) Wrap around contact for nanosheet source drain epitaxy
CN105405764B (zh) 半导体器件制造方法
TW200847426A (en) Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
CN103545211A (zh) 半导体器件制造方法
US9748348B2 (en) Fully-depleted SOI MOSFET with U-shaped channel
CN109216456A (zh) 半导体器件和方法
CN103811343B (zh) FinFET及其制造方法
CN106531686A (zh) 互连结构和其制造方法及半导体器件
CN107689395A (zh) 半导体器件和方法
TW201301404A (zh) 具有臨界電壓控制的半導體裝置及其製造方法
CN103681291B (zh) 一种金属硅化物的形成方法
US9691655B1 (en) Etch stop in a dep-etch-dep process
CN104979181B (zh) 一种半导体器件的制造方法
CN106531795B (zh) 半导体装置与半导体装置的栅极堆叠的制作方法
CN105336619B (zh) 半导体器件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant