CN105899713A - 选择性金属/金属氧化物刻蚀方法 - Google Patents

选择性金属/金属氧化物刻蚀方法 Download PDF

Info

Publication number
CN105899713A
CN105899713A CN201580004409.3A CN201580004409A CN105899713A CN 105899713 A CN105899713 A CN 105899713A CN 201580004409 A CN201580004409 A CN 201580004409A CN 105899713 A CN105899713 A CN 105899713A
Authority
CN
China
Prior art keywords
layer
titanium
molybdenum
oxide semiconductor
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580004409.3A
Other languages
English (en)
Other versions
CN105899713B (zh
Inventor
P·韦尔默朗
C·艾伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sachem Inc
Original Assignee
Sachem Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sachem Inc filed Critical Sachem Inc
Publication of CN105899713A publication Critical patent/CN105899713A/zh
Application granted granted Critical
Publication of CN105899713B publication Critical patent/CN105899713B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/34Alkaline compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/36Alkaline compositions for etching aluminium or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供了一种用于相对于氧化物半导体膜选择性地蚀刻钼或钛的方法,其包括提供基板,该基板包括氧化物半导体层和在该氧化物半导体层上的包含钼或钛的层;通过施加光致抗蚀剂层于包含钼或钛的层上,然后使光致抗蚀剂层形成图案并展开,以形成包含钼或钛的层的暴露部分来制备基板;提供包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;和将所述组合物施加至所述暴露部分,持续足以蚀刻和去除包含钼或钛的层的暴露部分的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛。

Description

选择性金属/金属氧化物刻蚀方法
技术领域
本发明涉及用于相对于氧化物半导体膜选择性地蚀刻金属的方法。更具体而言,本发明涉及用于相对于氧化物如铟-镓-锌氧化物(IGZO)的半导体膜选择性地蚀刻金属如钼(Mo)和钛(Ti)的组合物和方法。
背景
氧化物半导体是作为显示应用的活性矩阵平板中使用的无定形硅TFT通道材料的替代品受到主要关注。特别地,与无定形硅相比高的场效应迁移率和低的阈值电压使氧化物半导体成为有吸引力的替代品。一个最有希望的氧化物材料是无定形的铟-镓-锌氧化物(a-IGZO或简单的IGZO,其是InGaO3(ZnO)5),其已被证明具有比无定形硅更优异的电性质并且没有多晶硅通常所具有的不均匀性的缺点。IGZO具有高流动性,电稳定性和优良的均匀性。其他透明导电膜,如氧化铟锡锌(ITZO)(实际上为无定形的In2O3–SnO2–ZnO(a-ITZO))已经作为活性层特别应用于TFT中的薄膜显示领域中。由于这样的无定形氧化物半导体膜(特别是IGZO和ITZO)可以在相对低的温度下形成于聚合物薄膜上,其已被应用于使用这样的薄膜显示器的轻质便携式电子产品中。
存在四种基本的TFT结构,包括式底栅交错(bottom-gate staggered),顶栅交错(top-gate staggered),底栅共面(bottom-gate coplanar)和顶栅共面(top-gate coplanar)。在这样的TFT中,源极和漏极可以由来自金属如Al或Cu或这些金属的合金的金属薄膜形成。在过去使用Mo作为源极/漏极,但是对于未来的器件,计划使用导电性更强的金属Al和Cu。然而,如果施加的Al或Cu与IGZO或ITZO膜直接接触,可能会出现问题,如Cu扩散到IGZO或ITZO中或由于Al与IGZO或ITZO中的氧反应而在IGZO或ITZO中产生其他空位。因此,在大多数情况下,提供Mo或Ti的薄膜并且使用导电性更强的Al或Cu或其合金作为主要导体。Mo或Ti的层使Al或Cu与氧化物半导体分隔,由此避免这些问题。该薄膜形成在IGZO或ITZO上,由此在IGZO或ITZO上形成金属复合层,例如Mo/Cu/Mo/IGZO,Mo/Al/Mo/IGZO,Cu/Mo/IGZO,Mo/IGZO,Al/Mo/IGZO金属复合层。在前述的复合材料中,钛可以代替Mo。为了形成TFT结构,必须蚀刻已经形成的薄金属膜的层Mo/Cu/Mo,Mo/Al/Mo,Cu/Mo,Mo,Al/Mo(再次,钛可以代替Mo),以形成单独的源极和漏极。为了蚀刻薄金属膜并且不蚀刻掉氧化物半导体膜,蚀刻剂必须相对于氧化物半导体膜对于金属具有高的选择性。已使用干蚀刻剂,但其选择性差并且倾向于损坏底层氧化物半导体膜。常用的湿蚀刻剂,如PAN,已相对于底层半导体氧化物膜显示出对金属的选择性差。已发现其他蚀刻剂如氨/过氧化物相对于氧化物半导体膜在蚀刻金属时缺乏再现性,一致性和足够的选择性。最重要的问题是相对于氧化物半导体例如IGZO或ITZO蚀刻剂对于叠层中的“底部”金属例如Mo或Ti的选择性,该问题仍然未得到解决。
因此,对于能够提供相对于底层氧化物半导体膜对金属的可靠和一致的高蚀刻选择性的蚀刻剂存在持续的需求。
发明概述
本发明的发明人已经发现,氢氧化季铵,氨或氢氧化铵和过氧化氢的组合提供了相对于氧化物半导体膜对金属例如钼(Mo)或钛(Ti)具有非常高的选择性的优异快速的蚀刻。虽然不被理论所束缚,据认为,氢氧化季铵对于氧化物半导体提供了某种程度的保护,同时相对于氧化物半导体膜改善对于包含金属(例如包括钼或钛)的层的蚀刻。
在一个实施方案中,本发明涉及用于相对于氧化物半导体膜选择性地蚀刻钼或钛膜的方法,其包括:
提供了基板,该基板包括氧化物半导体层和在该氧化物半导体层上的包含钼或钛的层;
通过施加光致抗蚀剂层至包含钼或钛的层上,然后使光致抗蚀剂层形成图案并展开,以形成包含钼或钛的层的暴露部分来制备基板;
提供了包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;和
使所述组合物施加至所述暴露部分,持续足以蚀刻和去除包含钼或钛的层的暴露部分的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛。
在另一个实施方案中,本发明涉及一种用于形成晶体管的方法,其包括:
形成氧化物半导体的通道层;
在所述通道层上沉积包含钼或钛的源极/漏极层;
将光致抗蚀剂层施加至包含钼或钛的层,然后使光致抗蚀剂层形成图案并展开,以形成包含钼或钛的层的暴露部分;
提供包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;和
将所述组合物施加至所述暴露部分,持续足以蚀刻和去除包含钼或钛的层的暴露部分的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛,以形成晶体管的源极和漏极。
因此,本发明解决了现有技术对于相对于氧化物半导体膜(在其上布置有钼或钛)有效蚀刻和去除钼或钛的至少一种的需求。
附图的简要说明
图1是在器件上形成光致抗蚀剂层的图案之前的半导体器件一部分的示意性剖视图。
图2是在根据本发明的蚀刻之前在形成光致抗蚀剂层的图案之后的半导体器件一部分的示意性剖视图。
图3是在根据本发明的蚀刻之后半导体器件一部分的示意性剖视图。
图4和5是包含钼或钛的层的实施方案的示意性剖视图,该实施方案显示在包含钼或钛的层上复合或夹层的金属层。
应当理解,为了说明的简单和清楚起见,在图中所示的元件没有必要按比例绘制。例如,为了清晰,一些元件的尺寸相对彼此被放大。此外,在认为适当时,附图标记在图中重复以指示相应的或相同的元件。
详细说明
应当理解,本文中所描述的方法步骤和结构并不构成用于进行蚀刻工艺(如将在制造半导体器件或TFT显示屏器件中使用)的一个完整的系统或工艺流程。本发明可以与本领域中目前使用的制造技术和装置相结合来实施,并且只是为了理解本发明必须包括的那么多的通常实践使用的材料,设备和方法步骤。
贯穿本公开内容和权利要求书,所公开的范围和比率的数值限制可以组合,并且所有中间值被视为被公开在本公开的范围之内。此外,所有数值被认为之前有修饰语“约”,无论该术语是否被特别陈述。在整个公开内容和权利要求书中,可以从组中删除该组的任何一员。在整个公开内容和权利要求书中,所公开的各种要素的所有可能的组合可以进行组合,并且所有这些组合都被认为包括在本发明的范围之内。在整个公开内容和权利要求书中,除非另外指明,否则“一个(a)”、“一个(an)”和/或“该(the)”都可包含一或超过一,且单数项目亦可包括复数项目。在整个公开内容和权利要求书中,除非另外指明,否则所有温度以摄氏度测量,所有工艺均在室温或环境温度下进行并且所有压力都是大气压。
在上述概述中简单描述的某些本发明实施方式将在下文中进行更详细的描述,从而使本领域技术人员能够实施并使用本发明。
在根据本发明的方法中,可以进行蚀刻以从直接沉积在IGZO或其它透明半导体氧化物材料的一个或多个金属层上通过选择性地蚀刻掉金属层以形成源极和漏极,而无需蚀刻停止层且不损坏IGZO或其它透明半导体氧化物层。金属层包括例如Mo/Cu/Mo、Mo/Al/Mo、Cu/Mo、Mo、Al/Mo、替代任何相应金属的Mo、Cu和Al的任何的合金,以及现有技术中已知的用作TFT源极/漏极导体的相似金属。
图1是在光致抗蚀剂层112形成图案之前的半导体器件一部分的示意性剖视图。如图1所示,器件100包括基板102(由例如用于TFT显示装置的玻璃形成),绝缘体层104,栅极106,通道层108,待蚀刻以形成源极和漏极的金属层110,以及光致抗蚀剂层112。在一个实施方案中,通道导体108是氧化物半导体,如IGZO或ITZO。在一个实施方案中,金属层110包括钼(Mo)或钛(Ti)、Mo或Ti上的铝(Al)层、Mo/Al/Mo或Ti/Al/Ti夹层、Mo或Ti上的铜(Cu)层、Mo/Cu/Mo或Ti/Cu/Ti夹层或以相同结构的这些金属的合金。如本文所用,“这些金属的任何的合金”包括用于半导体器件中的公知的Mo、Ti、Cu或Al的任何一种的合金。如图1所示,光致抗蚀剂层112已经被应用,但是还没有形成图案。
图2是根据本发明的实施方案在蚀刻之前在图1的光致抗蚀剂层112形成图案之后的半导体器件一部分的示意性剖视图,其中光致抗蚀剂层212已经被图案化,在适当的位置上形成开口,以形成金属层110的暴露部分110a,以用于随后蚀刻以形成新生TFT的源极和漏极。
图3是根据本发明在蚀刻之后的半导体器件一部分(例如薄膜晶体管300)的示意性剖视图。如图3所示,金属层110的暴露部分110a被蚀刻,以形成源极310s和漏极310d。在图3所示的方法中,光致抗蚀剂212已去除。按照本发明的实施方案,由氧化物半导体如IGZO制造的通道层108由于本发明的高选择性蚀刻方法而没有任何显著程度的蚀刻。
图4和5是金属层110的其他实施方案的示意性剖视图,该实施方案显示在包含钼或钛的层上的复合或夹层的金属层。在这方面,应注意的是在图1-3所示的实施方案中,包含钼或钛的层是单一材料,例如Mo或Mo的合金或Ti或Ti的合金。如本文所公开的,在其他实施方案中,包含钼或钛(或其合金)的层还可以包括在Mo层上的铝或铜层,并且还在其它实施方案中,另一钼层可以覆盖在第一Mo层上的铝或铜层,形成夹层结构Mo/Al/Mo或Mo/Cu/Mo。
图4示出实施方案400,其中包含钼或钛的层还包括形成在钼或钛层上的Al或Cu的额外层。因此,如图4所示,其中形成了源极410s和漏极410d,其每一个均包括在氧化物半导体底层108上并且与氧化物半导体底层108接触的钼或钛层,以及在钼或钛层上的Al或Cu的额外层。因此,在一个实施方案中,包含钼或钛的层110还包含在该包含钼或钛的层上的铝层或铜层,其将成为氧化物半导体层上的源极和漏极。
图5示出了实施方案500,其中包含钼或钛的层还包括在钼或钛层上形成的Al或Cu的额外层和该Al或Cu的额外层上的又一个钼或钛的层。因此,如图5所示,其中形成了源极510s和漏极510d,其每一个均包括在氧化物半导体底层108上并且与氧化物半导体底层108接触的钼或钛层,和在钼或钛层上的Al或Cu的额外层,以及在Al或Cu的额外层上的又一个Mo层。因此,在一个实施方案中,包含钼或钛的层110还包含在钼或钛层上的铝层或铜层上的钼或钛的第二层,由此形成Mo/Al/Mo夹层或Mo/Cu/Mo夹层或Ti/Al/Ti夹层或Ti/Cu/Ti夹层,其将成为源极和漏极。
因此,根据本发明的实施方案,本文提供一种用于相对于氧化物半导体膜选择性地蚀刻钼或钛的方法,其包括以下步骤:
提供基板102,其包括氧化物半导体层108和在氧化物半导体的通道层108上的包含钼或钛的层110;
通过施加光致抗蚀剂层112至包含钼或钛的层110上,然后使光致抗蚀剂层112形成图案并展开,以形成包含钼或钛的层110的暴露部分110a来制备该基板;
提供包含氨或氢氧化铵,氢氧化季铵和过氧化物的组合物;和
将所述组合物施加至所述暴露部分110a,持续足以蚀刻和去除包含钼或钛的层的暴露部分110a的时间,其中所述蚀刻相对于所述氧化物半导体的通道层108选择性地去除钼或钛。
在其他实施方案中,本发明提供用于形成晶体管300的方法,其包括
形成氧化物半导体的通道层108;
在通道层108上沉积包含钼或钛的源极/漏极层110;
将光致抗蚀剂层112施加至包含钼或钛的层110上,然后使光致抗蚀剂层110形成图案并展开,以形成包含钼或钛的层110的暴露部分110a;
提供包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;和
将所述组合物施加至所述暴露部分110a,持续足以蚀刻和去除包含钼或钛的层110的暴露部分110a的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛,以形成晶体管300的源极310s和漏极310d。
在一个实施方案中,选择性蚀刻基本上去除包含钼或钛的层110的所有的暴露部分110a,且基本上不去除氧化物半导体的层108。
在一个实施方案中,选择性蚀刻表现出至少6:1的金属/氧化物半导体选择性。在另一个实施方案中,选择性蚀刻表现出至少20:1的金属/氧化物半导体选择性。在另一个实施方案中,选择性蚀刻表现出至少100:1的金属/氧化物半导体选择性。在另一个实施方案中,选择性蚀刻表现出至少250:1的金属/氧化物半导体选择性。在另一个实施方案中,选择性蚀刻表现出至少2500:1的金属/氧化物半导体选择性。在另一个实施方案中,选择性蚀刻表现出约3000:1的金属/氧化物半导体选择性。由于范围和比率的限定可以被组合,因此前述包括例如在约100:1至约3000:1的范围内的选择性,以及类似的组合。
在一个实施方案中,组合物包含:
2-10wt%的氨,并且在一个实施方案中,1-10wt%的氨;
0.01-0.5M的氢氧化季铵;和
0.1-7wt%的过氧化氢。
在一个实施方案中,组合物包含:
3-10wt%的氨;
0.01-0.5M的氢氧化季铵;和
0.1-7wt%的过氧化氢。
在一个实施方案中,组合物包含:
6-8wt%的氨;
0.05-0.2M的氢氧化季铵;和
0.5-2wt%的过氧化氢。
在一个实施方案中,组合物包含:
7wt%的氨;
0.1M的氢氧化季铵;和
1wt%的过氧化氢。
在一个实施方案中,过氧化物以小于所添加的试剂(不包括溶剂如水)的小于主要量即50%存在。
实施例
在初始组的测试中,测试了在含有1wt%的过氧化氢水溶液中TMAH和氨的各种组合中Mo的比较蚀刻速率,发现Mo的蚀刻速率在低浓度的TMAH时较高,并且显示出依赖于蚀刻组合物中的氨浓度。在这些测试中,对于表1中在NH3水溶液中的TMAH样品,蚀刻时间为10、20、30和60秒,对于表2中的在TMAH水溶液中的NH3样品,蚀刻时间在6号溶液中为1、2、5、10和20分钟,且在7-10号溶液中为10、20、30、60秒和2、5和10分钟。
表1:NH3水溶液中的TMAH
认为最佳的Mo蚀刻组合物为实施例编号4的组合物。
表2:TMAH水溶液中的NH3
在第二组测试中,测试了在含有1wt%过氧化氢的水溶液中TMAH和氨的各种组合中Mo/IGZO选择性,如下表3所示。在这些测试中,发现用与实施例编号4相同的蚀刻剂获得最好的选择性,其中分别地,零TMAH样品对应于如上表1中的实施例编号1且0.5M TMAH样品对应于实施例编号6。
表3:Mo/IGZO蚀刻选择性
在第三组测试中,测定了季铵和氨对于Mo蚀刻速率的影响。对于这些测试,厚度为200nm的Mo层被溅射到硅晶片上,并且通过测量在40℃(表4)和20℃(表5和6)蚀刻后的薄层电阻率来评价Mo蚀刻。首先只使用氨水和过氧化氢(如以下表4-5所示),然后使用TMAH水溶液和过氧化氢(如以下表6所示),获得以下结果。
表4:在40℃下的NH3水溶液和不同的过氧化物
如表4所示,只需10秒之后,用H2O2的样品的薄层电阻率为约60Ω/sq,这对应于几乎完全蚀刻的层。没有H2O2的溶液显示没有薄层电阻率的变化,因此认为这些层在该蚀刻时间内完全没有被蚀刻。
表5:在20℃下具有不同的过氧化物的NH3水溶液
如表5所示,在20℃下Mo蚀刻速率可以定性确定,除了具有5wt%过氧化氢的溶液,因为该层在10秒后被完全蚀刻。结果表明,增加H2O2浓度增大了Mo蚀刻速率,正如人们当添加氧化剂时基于氧化剂强度增大所预料的。
表6:在20℃下的TMAH和过氧化物水溶液
表6的结果表明,增加H2O2浓度增大了Mo蚀刻速率,正如人们当添加氧化剂时基于氧化剂强度增大所预料的。比较NH3和TMAH溶液,NH3溶液具有更高的Mo蚀刻速率。
在第四组试验中,测试了许多不同的氢氧化季铵以确定在两个不同的氢氧化季铵浓度下Mo蚀刻速率。对于这些测试,厚度为200nm的Mo层被溅射到硅晶片上,并且通过测量在20℃蚀刻后的薄层电阻率来评价Mo蚀刻。获得以下结果,如表7-8所示。
表7.不同季铵对Mo蚀刻速率的影响
TMAH=四甲基氢氧化铵
TEAH=四乙基氢氧化铵
DMDP=二甲基二丙基氢氧化铵
BnTMAH=苄基三甲基氢氧化铵
金刚烷基TMAH=金刚烷基三甲基氢氧化铵
ETMAH=乙基三甲基氢氧化铵
如表7所示,在0.1M的QOH,7wt%的NH3和1wt%的H2O2下,Mo的蚀刻速率不受季铵阳离子分子结构的影响,其在所有情况下均较高。
表8.不同季铵对于Mo蚀刻速率的影响
如表8所示,在0.5M的QOH,7wt%的NH3和1wt%的H2O2下,Mo的蚀刻速率受季铵阳离子分子结构的影响,
在另一组测试中,在Mo蚀刻中,测试了具有不同浓度的氢氧化物和氨的两个额外氢氧化季铵。结果示于表9。
表9.不同季铵和不同氨浓度对于Mo蚀刻速率的影响
TBAH=四丁基氢氧化铵
胆碱OH=三甲基乙醇氢氧化铵
如表9所示,用0.1M氢氧化季铵,7wt%的氨和1wt%的过氧化氢获得最高的Mo蚀刻速率。如用其他测试所观察到的,Mo蚀刻速率与这些浓度下的阳离子无关,但是较高的氢氧化季铵浓度或较低的氨浓度降低Mo蚀刻速率。
在另一组测试中,用0.1M的TMAH,1wt%的过氧化氢和0或7wt%的氨测定了铜的蚀刻速率。所得到的结果示于表10。
表10.铜蚀刻速率
如表10所示,在用含有7wt%的NH3溶液时Cu蚀刻非常快。蚀刻速率如此之快,使得200nm厚的Cu层在几秒钟内被完全蚀刻。
在另一组测试中,用0.1M的TMAH,1wt%的过氧化氢和0或7wt%的氨测定了铝的蚀刻速率。所得到的结果示于表11。
表11.铝的蚀刻速率
如表11所示,Al的蚀刻速率随着更高浓度的TMAH而增加。在0.1M的TMAH下,NH3的添加增加了蚀刻速率,而在0.5M的TMAH下,Al的蚀刻速率不受NH3浓度的影响。这表明,在0.5M的TMAH下,蚀刻速率是依赖于还原速率并且氧化速率不限制总的蚀刻速率。在0.1M,其显示是完全相反的,其中添加已知为良好络合剂的NH3可能增加氧化速率,因此是依赖于氧化速率的。
在另一组测试中,使用0或0.1M的TMAH,16.67或6.67wt%的过氧化氢和6.44wt%的氨来测定钛的蚀刻速率。所得结果示于表12。
表12.Ti的蚀刻速率
如表12所示,在较高浓度的过氧化氢下在无氢氧化季铵存在时,Ti的蚀刻速率实际上更高,并且当过氧化氢浓度较低时,Ti的蚀刻速率在有或没有氢氧化季铵下是相同的。然而,考虑到已证明氢氧化季铵的存在对于IGZO有保护作用,显示出钛可以有效地并选择性地被蚀刻掉,并且当蚀刻和除去IGZO上的Ti层时,可以得到本发明所示的选择性。
虽然已通过某些特定实施方式对本发明的原理进行了解释,并为说明的目的提供了这些特定实施方式,但应该理解,其各种修改在本领域技术人员阅读说明书后将显而易见。因此,应该理解,本文所公开的发明旨在涵盖落入所附权利要求书中的此类修改。本发明的范围仅由权利要求书的范围来限定。

Claims (14)

1.一种用于相对于氧化物半导体膜选择性地蚀刻钼或钛的方法,其包括:
提供基板,该基板包括氧化物半导体层和在该氧化物半导体层上的包含钼或钛的层;
通过施加光致抗蚀剂层至所述包含钼或钛的层上,然后使所述光致抗蚀剂层形成图案并展开,以形成所述包含钼或钛的层的暴露部分来制备所述基板;
提供包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;并且
将所述组合物施加至所述暴露部分,持续足以蚀刻和去除所述包含钼或钛的层的暴露部分的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛。
2.根据权利要求1所述的方法,其中所述选择性蚀刻基本上去除所述包含钼或钛的层的所有暴露部分,且基本上不去除所述氧化物半导体层。
3.根据前述权利要求任一项所述的方法,其中所述选择性蚀刻表现出至少6:1的金属/氧化物半导体选择性。
4.根据前述权利要求任一项所述的方法,其中所述组合物包含:
2-10wt%的氨;
0.01-0.5M的氢氧化季铵;和
0.1-7wt%的过氧化氢。
5.根据前述权利要求任一项所述的方法,其中所述氧化物半导体包括IGZO或ITZO。
6.根据前述权利要求任一项所述的方法,其中所述包含钼或钛的层还包括在所述氧化物半导体层上的钼或钛层上的铝层或铜层。
7.根据权利要求6所述的方法,其中所述包含钼或钛的层还包括在所述铝层或所述铜层上的钼或钛的第二层,形成Mo/Al/Mo夹层,Mo/Cu/Mo夹层、Ti/Al/Ti夹层或Ti/Cu/Ti夹层。
8.一种用于形成晶体管的方法,其包括:
形成氧化物半导体的通道层;
在所述通道层上沉积包含钼或钛的源极/漏极层;
将光致抗蚀剂层施加至包含钼或钛的层,然后使所述光致抗蚀剂层形成图案并展开,以形成所述包含钼或钛的层的暴露部分;
提供包含氨或氢氧化铵、氢氧化季铵和过氧化物的组合物;和
将所述组合物施加至所述暴露部分,持续足以蚀刻和去除所述包含钼或钛的层的暴露部分的时间,其中所述蚀刻相对于所述氧化物半导体选择性地去除钼或钛,以形成晶体管的源极和漏极。
9.根据权利要求8所述的方法,其中所述选择性蚀刻基本上去除所述包含钼或钛的层的所有暴露部分,且基本上不去除氧化物半导体层。
10.根据权利要求8-9中任一项所述的方法,其中所述选择性蚀刻表现出至少6:1的金属/氧化物半导体选择性。
11.根据权利要求8-10中任一项所述的方法,其中所述组合物包含:
2-10wt%的氨;
0.01-0.5M的氢氧化季铵;和
0.1-7wt%的过氧化氢。
12.根据权利要求8-11中任一项所述的方法,其中所述氧化物半导体包括IGZO或ITZO。
13.根据权利要求8-12中任一项所述的方法,其中所述包含钼或钛的层还包括在氧化物半导体层上的钼或钛层上的铝层或铜层。
14.根据权利要求13所述的方法,其中所述包含钼或钛的层还包括在所述铝层或铜层上的钼或钛的第二层,形成Mo/Al/Mo夹层、Mo/Cu/Mo夹层、Ti/Al/Ti夹层或Ti/Cu/Ti夹层。
CN201580004409.3A 2014-01-14 2015-01-13 选择性金属/金属氧化物刻蚀方法 Active CN105899713B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461927346P 2014-01-14 2014-01-14
US61/927,346 2014-01-14
PCT/US2015/011130 WO2015108842A1 (en) 2014-01-14 2015-01-13 Selective metal/metal oxide etch process

Publications (2)

Publication Number Publication Date
CN105899713A true CN105899713A (zh) 2016-08-24
CN105899713B CN105899713B (zh) 2018-01-12

Family

ID=52432976

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580004409.3A Active CN105899713B (zh) 2014-01-14 2015-01-13 选择性金属/金属氧化物刻蚀方法

Country Status (9)

Country Link
US (1) US10297465B2 (zh)
JP (1) JP6258512B2 (zh)
KR (1) KR101822831B1 (zh)
CN (1) CN105899713B (zh)
DE (1) DE112015000396B4 (zh)
GB (1) GB2537549B (zh)
HK (1) HK1222892A1 (zh)
TW (1) TWI640654B (zh)
WO (1) WO2015108842A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111834216A (zh) * 2019-04-15 2020-10-27 中国科学院物理研究所 一种制备纳米尺寸金属薄膜图形的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102128276B1 (ko) * 2014-02-17 2020-06-30 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 인듐과 아연과 주석 및 산소로 이루어진 산화물의 에칭용 액체 조성물 및 에칭방법
TWI808965B (zh) * 2017-03-31 2023-07-21 日商關東化學股份有限公司 鈦層或含鈦層的蝕刻液組成物以及蝕刻方法
WO2022179680A1 (en) * 2021-02-24 2022-09-01 Imec Vzw A method for etching molybdenum
WO2023239837A1 (en) * 2022-06-08 2023-12-14 Entegris, Inc. Cleaning composition with molybdenum etching inhibitor

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284450A (ja) * 2000-04-03 2001-10-12 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
CN1938647A (zh) * 2004-03-03 2007-03-28 高级技术材料公司 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
US20090176363A1 (en) * 2007-11-22 2009-07-09 Dong-Min Kang Etching composition for an under-bump metallurgy layer and method of forming a bump structure using the same
CN101903988A (zh) * 2007-12-21 2010-12-01 和光纯药工业株式会社 蚀刻剂、蚀刻方法及蚀刻剂制备液
US20100320457A1 (en) * 2007-11-22 2010-12-23 Masahito Matsubara Etching solution composition
CN102834547A (zh) * 2010-01-28 2012-12-19 三菱瓦斯化学株式会社 铜/钛系多层薄膜用蚀刻液
TW201311868A (zh) * 2011-07-26 2013-03-16 Mitsubishi Gas Chemical Co 銅/鉬系多層薄膜用蝕刻液

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393386A (en) * 1992-12-28 1995-02-28 Mitsubishi Gas Chemical Company, Inc. Method for preparing aqueous quaternary ammonium hydroxide solution
JP5024048B2 (ja) * 2005-11-18 2012-09-12 三菱瓦斯化学株式会社 ウエットエッチング方法及びウエットエッチング装置
KR101213707B1 (ko) * 2008-07-08 2012-12-18 엘지디스플레이 주식회사 폴리실리콘 박막트랜지스터 및 그 제조방법
JP2010232486A (ja) * 2009-03-27 2010-10-14 Nagase Chemtex Corp エッチング用組成物
WO2014054428A1 (ja) * 2012-10-01 2014-04-10 シャープ株式会社 半導体装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284450A (ja) * 2000-04-03 2001-10-12 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
CN1938647A (zh) * 2004-03-03 2007-03-28 高级技术材料公司 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
US20090176363A1 (en) * 2007-11-22 2009-07-09 Dong-Min Kang Etching composition for an under-bump metallurgy layer and method of forming a bump structure using the same
US20100320457A1 (en) * 2007-11-22 2010-12-23 Masahito Matsubara Etching solution composition
CN101903988A (zh) * 2007-12-21 2010-12-01 和光纯药工业株式会社 蚀刻剂、蚀刻方法及蚀刻剂制备液
CN102834547A (zh) * 2010-01-28 2012-12-19 三菱瓦斯化学株式会社 铜/钛系多层薄膜用蚀刻液
TW201311868A (zh) * 2011-07-26 2013-03-16 Mitsubishi Gas Chemical Co 銅/鉬系多層薄膜用蝕刻液

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111834216A (zh) * 2019-04-15 2020-10-27 中国科学院物理研究所 一种制备纳米尺寸金属薄膜图形的方法

Also Published As

Publication number Publication date
KR20160105478A (ko) 2016-09-06
JP6258512B2 (ja) 2018-01-10
JP2017505990A (ja) 2017-02-23
TWI640654B (zh) 2018-11-11
GB201611892D0 (en) 2016-08-24
DE112015000396B4 (de) 2020-07-09
GB2537549A (en) 2016-10-19
US20160322236A1 (en) 2016-11-03
KR101822831B1 (ko) 2018-01-29
HK1222892A1 (zh) 2017-07-14
US10297465B2 (en) 2019-05-21
DE112015000396T5 (de) 2016-09-22
CN105899713B (zh) 2018-01-12
WO2015108842A1 (en) 2015-07-23
TW201534764A (zh) 2015-09-16
GB2537549B (en) 2021-06-16

Similar Documents

Publication Publication Date Title
CN105899713A (zh) 选择性金属/金属氧化物刻蚀方法
Ryu et al. Amorphous-InGaZnO4 thin-film transistors with damage-free back channel wet-etch process
JP5642967B2 (ja) エッチング液組成物
JP4785721B2 (ja) エッチング方法、パターン形成方法、薄膜トランジスタの製造方法及びエッチング液
JP5805270B2 (ja) 半導体装置、半導体装置を有する液晶表示装置、半導体装置の製造方法
CN106024608B (zh) 一种薄膜晶体管及其制作方法、衬底基板及显示装置
US20140295626A1 (en) Etchant composition, and method of manufacturing a display substrate using the same
Hong et al. Carboxylic group as the origin of electrical performance degradation during the transfer process of CVD growth graphene
TW201541648A (zh) 薄膜電晶體
CN102484138B (zh) 布线层、半导体装置、液晶显示装置
CN102629591A (zh) 一种阵列基板的制造方法及阵列基板、显示器
CN101971350B (zh) 薄膜晶体管、薄膜晶体管的制造方法
CN106010541A (zh) 蚀刻剂组合物和制造用于液晶显示器的阵列基板的方法
Byun et al. High performance poly-Si thin film transistors fabricated by novel and simple crystallization method using Ni-silicide seeds
Weber et al. Variable resistor made by repeated steps of epitaxial deposition and lithographic structuring of oxide layers by using wet chemical etchants
CN106298546A (zh) 一种薄膜晶体管、其制作方法、阵列基板及显示面板
Yoon et al. Flexible Nonvolatile memory thin-film transistor using ferroelectric copolymer gate insulator and oxide semiconducting channel
TW201420812A (zh) 刻蝕劑組合物、金屬圖案的形成方法和陣列基板的製法
Park et al. Modification of electrode-etchant for sidewall profile control and reduced back-channel corrosion of inverted-staggered metal-oxide TFTs
Kitaoka et al. Carrier doping effect of humidity for single-crystal graphene on SiC
CN106972063B (zh) 金属氧化物薄膜晶体管的制作方法
CN101506954A (zh) 配线用层叠膜及配线电路
Subramanian et al. Selective wet etching process for Ni-InGaAs contact formation in InGaAs N-MOSFETs with self-aligned source and drain
CN104934448B (zh) 阵列基板及其制作方法、显示装置
Kim et al. High-pressure oxygen annealing of Al2O3 passivation layer for performance enhancement of graphene field-effect transistors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1222892

Country of ref document: HK

GR01 Patent grant
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1222892

Country of ref document: HK