CN105051870B - 形成图案的方法 - Google Patents

形成图案的方法 Download PDF

Info

Publication number
CN105051870B
CN105051870B CN201480016295.XA CN201480016295A CN105051870B CN 105051870 B CN105051870 B CN 105051870B CN 201480016295 A CN201480016295 A CN 201480016295A CN 105051870 B CN105051870 B CN 105051870B
Authority
CN
China
Prior art keywords
area
polymer
block copolymer
high frequency
secondary electron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480016295.XA
Other languages
English (en)
Other versions
CN105051870A (zh
Inventor
森北信也
西村荣
西村荣一
山下扶美子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN105051870A publication Critical patent/CN105051870A/zh
Application granted granted Critical
Publication of CN105051870B publication Critical patent/CN105051870B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nanotechnology (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明提供在被处理体的基底层上形成图案的方法。该方法包括:(a)在基底层上形成包含第一聚合物和第二聚合物的能够自组织化的嵌段共聚物层的步骤;(b)对被处理体进行处理,以使得在嵌段共聚物层形成包含第一聚合物的第一区域和包含第二聚合物的第二区域的步骤;(c)在对被处理体进行处理的步骤之后,在电容耦合型的等离子体处理装置内对该第二区域进行蚀刻直至第二区域的膜厚的中途的步骤;(d)在对第二区域进行蚀刻的步骤之后,对等离子体处理装置的上部电极施加负的直流电压而从该上部电极产生二次电子,并将该二次电子照射到被处理体的步骤;和(e)在将二次电子照射到被处理体的步骤之后,在等离子体处理装置内进一步对第二区域进行蚀刻的步骤。

Description

形成图案的方法
技术领域
本发明的实施方式涉及在被处理的基底层上形成图案的方法,更加详细来说,是从能够自组织化的嵌段共聚物层形成图案的方法。
背景技术
为了实现半导体元件等器件的进一步精细化,需要形成具有比通过至今为止使用了光刻技术的精细加工而得到的临界尺寸小的尺寸的图案。作为用于形成这样的尺寸的图案的一个方法,成为下一代曝光技术的EUV(extreme ultraviolet:远紫外)的开发正在进行。在EUV中,使用与现有的UV光源波长相比明显短的波长的光,使用例如13.5nm非常短的波长的光。因此,EUV存在实现量产化的技术壁垒。例如,EUV具有曝光时间长等技术问题。因而,期待开发能够提供更精细化的器件的另外的制造方法。
作为替代现有的光刻技术的技术,着眼于使用作为将规则图案自发地组织化的自组织化(self-assembled)材料之一的自组织化嵌段共聚物(BCP:block copolymer),来形成图案的技术。这样的技术在专利文献1和2中有记载。
在专利文献1记载的技术中,在基底层上涂敷包括含有相互不混和的两种以上的聚合物嵌段成分A、B的嵌段共聚物的嵌段共聚物层。而且,为了使聚合物嵌段成分A、B自发地相分离,进行热处理(退火)。由此,能够获得具有包含聚合物嵌段成分A的第一区域和包含聚合物嵌段成分B的第二区域的秩序图案。另外,在专利文献2中,作为微孔(via)的形成方法,提案有嵌段共聚物的图案化加工。在专利文献2记载的图案化加工中,通过除去相分离的嵌段共聚物层的第一区域和第二区域之中的第二区域,能够获得图案。
在专利文献1和2所记载的通过嵌段共聚物层的图案化而得到的图案的尺寸通常在以现有的光刻技术中极难实现的10纳米的范围内。 为-900V~0V的范围的电压,例如为-900V的电压。可变直流电源82的另一方的端子接地。可变直流电源82的输出(电压、电流)的绝对值和开关84的导通断开切换,在来自后述的控制部88的指示下由DC控制器83控制。
现有技术文献
专利文献
专利文献1:日本特开2007-208255号公报
专利文献2:日本特开2010-269304号公报
发明内容
发明想要解决的课题
专利文献1、2中没有记载有关用于相对于通过嵌段共聚物层的相分离而形成的第一区域有选择地除去第二区域的等离子体蚀刻的条件。但是,本申请发明者发现,在利用一般的氧等离子体进行的蚀刻中,相对于第一区域有选择地蚀刻第二区域比较困难。
因而,需要能够利用等离子体蚀刻来相对于通过嵌段共聚物层的自组织化而形成的第一区域有选择地除去第二区域。
用于解决课题的技术方案
在一个方面中,提供在被处理体的基底层上形成图案的方法。该方法包括:(a)在基底层上形成包含第一聚合物和第二聚合物的能够自组织化的嵌段共聚物层的步骤;(b)对被处理体进行处理,使得在嵌段共聚物层形成包含第一聚合物的第一区域和包含第二聚合物的第二区域的步骤;(c)在对被处理体进行处理的步骤之后,在电容耦合型的等离子体处理装置内对该第二区域进行蚀刻直至第二区域的膜厚的中途的步骤;(d)在对第二区域进行蚀刻的步骤之后,对等离子体处理装置的上部电极施加负的直流电压而从该上部电极产生二次电子,并将该二次电子照射到被处理体的步骤;和(e)在将二次电子照射到被处理体的步骤之后,在等离子体处理装置内进一步对第二区域进行蚀刻的步骤。
在上述一个方面所涉及的方法中,将第二区域相对于其膜厚蚀刻至中途,由此第二区域的表面比第一区域的表面凹陷。在该状态下,当将二次电子照射到被处理体的表面时,与凹陷的第二区域相比,二次电子较多地照射在第一区域。其结果是,与第二区域相比第一区域的固化被促进。而且,通过对第二区域进一步蚀刻,能够相对于第一区域有选择地蚀刻除去第二区域。
在一个方式中,第一聚合物为聚苯乙烯,第二聚合物是聚甲基丙烯酸甲酯。在该情况下,相对于包含聚苯乙烯的第一区域能够有选择地蚀刻包含聚甲基丙烯酸甲酯的第二区域。
发明效果
如上述说明那样,根据本发明的的一个方面和若干方式,相对于通过嵌段共聚物层的自组织化而形成的第一区域,能够利用等离子体蚀刻有选择地除去第二区域。
附图说明
图1是表示一实施方式的形成图案的方法的流程图。
图2是表示在图1所示的各步骤中生成的生产物的截面的图。
图3是用于说明嵌段共聚物的自组织化的图。
图4是概略地表示等离子体处理装置的一实施方式的图。
图5是用于说明图1所示的步骤ST4的原理的图。
图6是用于说明各实验例的评价参数的图。
具体实施方式
以下,参照附图对各种实施方式进行详细说明。此外,在各附图中,对相同或者相当的部分标注相同的附图标记。
图1是表示一实施方式的形成图案的方法的流程图。另外,图2是表示在图1所示的各步骤中所生成的生产物的截面的图。如图1所示,一实施方式的形成图案的方法MT1包括步骤ST1、步骤ST2、步骤ST3、步骤ST4和步骤ST5。方法MT1中,首先,在步骤ST1中,将嵌段共聚物涂敷在被处理体(以下称为“晶片W”)的表面。嵌段共聚物例如能够通过旋涂法等各种方法涂敷。由此,如图2的(a)所示,在晶片W的表面形成有嵌段共聚物层BCL。此外,如图2的(a)所示,在一实施方式中,晶片W具有硅制的基板Sb和设置在该基板Sb上的基底层UL,在该基底层UL上形成有嵌段共聚物层BCL。在一实施方式中,基底层UL由有机膜构成。
上述嵌段共聚物是自组织化(Self-Assembled)嵌段共聚物,包含第一聚合物和第二聚合物。在一实施方式中,嵌段共聚物是聚苯乙烯- 嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。PS-b-PMMA包含聚苯乙烯(PS)作为第一聚合物,并且包含聚甲基丙烯酸甲酯(PMMA)作为第二聚合物。
在此,关于嵌段共聚物和其自组织化,以PS-b-PMMA为例,参照图3进行说明。PS和PMMA都是一个分子的直径为0.7nm的高分子。将含有相互不混和的PS和PMMA的嵌段共聚物涂敷在基底层UL上,而形成嵌段共聚物层BCL后,将晶片W从常温(25℃)以300℃以下的温度进行热处理(退火)时,在嵌段共聚物层BCL中产生相分离。一般来讲,退火在200℃~250℃的温度范围内进行。另一方面,当以高于300℃的高温进行热处理时,不产生嵌段共聚物层BCL的相分离,PS和PMMA任意配置。另外,在相分离后使温度返回至常温,嵌段共聚物层BCL也保持相分离状态。
当各聚合物的聚合物长度较短时,相互作用(斥力)变弱,且亲水性变强。另一方面,当聚合物长度较长时,相互作用(斥力)变强,且疏水性变强。利用这样的聚合物的性质,例如如图3的(a)和图3的(b)所示,能够产生PS和PMMA的相分离结构。图3的(a)表示聚合物A和聚合物B具有大致相同的聚合物长度时的相分离结构。一个例子中,聚合物A为PS,聚合物B为PMMA。在图3的(a)所示的情况下,由于各聚合物的相互作用相同,所以在250℃左右对嵌段共聚物层BCL进行热处理时,聚合物A和聚合物B自组织化而相分离为线状。即,聚合物A形成线状的第一区域,在第一区域间中聚合物B形成线状的第二区域。利用该相分离结构,例如当除去包含聚合物B的第二区域时,能够形成线和空间(L/S)的周期图案。该周期图案能够作为半导体元件等的器件制造用的图案应用。
另外,图3的(b)表示聚合物A和聚合物B的聚合物长度差别大时,即聚合物A的聚合物长度与聚合物B的聚合物长度相比长的情况下的相分离结构。在图3的(b)所示的情况下,聚合物A的相互作用(斥力)强,聚合物B的相互作用(斥力)弱。在250℃左右对这样的嵌段共聚物层BCL进行热处理时,由于聚合物间的相互作用的强弱,聚合物A在外侧自组织化,聚合物B在内侧自组织化。即,聚合物B呈圆柱状地自组织化而形成第二区域,聚合物A以包围该圆柱状 的区域的方式自组织化而形成第一区域。利用这样的包含第一区域和第二区域的相分离结构,例如当除去第二区域时,能够形成孔的周期图案。该周期图案也能够应用于半导体元件等的器件制造用的图案。
再次参照图1时,在方法MT1的步骤ST2中,进行用于嵌段共聚物层BCL的相分离的处理。一实施方式的步骤ST2中,以200℃~300℃的温度对晶片W进行加热,由此使嵌段共聚物层BCL产生相分离。通过该步骤ST2,如图2的(b)所示,在嵌段共聚物层BCL中形成有包含第一聚合物的第一区域R1和包含第二聚合物的第二区域R2。如上述方式,第一区域R1和第二区域R2可以为交替设置的线图案。或者也可以是,第二区域R2为圆柱状的区域,第一区域R1包围圆柱状的第二区域R2。
在接下来的步骤ST3之前,晶片W被搬运到等离子体处理装置内。图4是概略性地表示能够用于方法MT1的实施的等离子体处理装置的一实施方式的图。图4所示的等离子体处理装置1为电容耦合型的平行平板等离子体处理装置,具有大致圆筒形的腔室(处理容器)10。腔室10接地。在腔室10的内表面实施耐酸铝处理(阳极氧化处理)。
在腔室10的底部隔着陶瓷等的绝缘板12配置有圆柱状的基座支承台14。在基座支承台14之上设置有例如由铝构成的基座16。
在基座16的上表面设置有用于利用静电吸附力保持晶片W的静电吸盘18。该静电吸盘18是将由导电膜形成的吸盘电极20夹在一对绝缘层或者绝缘片之间而形成的部件。直流电源22经由开关24与吸盘电极20电连接。通过来自直流电源22的直流电压,能够利用静电力将晶片W吸附保持在静电吸盘18上。在静电吸盘18的周围且基座16上配置有用于提高蚀刻的面内均匀性的聚焦环26。聚焦环26例如为硅制。在基座16和基座支承台14的侧面粘贴有例如石英制的圆筒状的内壁部件28。
在基座支承台14的内部设置有制冷剂室30。制冷剂室30例如在基座支承台14内环状地延伸。从外安装的冷却装置经由配管32a、32b对该制冷剂室30循环供给规定温度的制冷剂cw例如冷却水。通过控制制冷剂cw的温度,来控制基座16上的晶片W的处理温度。进而,来自传热气体供给机构(未图示)的传热气体例如He气体经由气体供 给线34供给到静电吸盘18的上表面与晶片W的背面之间。
另外,基座16分别经由匹配器40、42和供电棒44、46与等离子体生成用的第一高频电源36、离子引入用的第二高频电源38电连接。
第一高频电源36产生适合等离子体生成的频率例如40MHz的第一频率的电力。此外,第一频率可以为60MHz或者100MHz等频率。另一方面,第二高频电源38产生适合将等离子体的离子引到基座16上的晶片W的比较低频的频率例如13MHz的第二频率的电力。
在基座16的上方以与该基座平行相对的方式设置有上部电极48。该上部电极48包括电极板50和可装卸地支承该电极板50的电极支承体52。在电极板50形成有多个气体孔50a。电极板50例如能够由Si、SiC等半导体材料构成。另外,电极支承体52例如由铝构成,在其表面实施耐酸铝处理。这些电极板50和电极支承体52隔着环状的绝缘体54安装在腔室10的上部。环状的绝缘体54例如能够由氧化铝构成。在该上部电极48与基座16之间设定有等离子体生成空间即处理空间S。
在电极支承体52形成有气体缓冲室56。另外,在电极支承体52形成有使气体缓冲室56和电极板50的气体孔50a连通的多个气体通气孔52a。气体缓冲室56经由气体供给管58与气体供给源60连接。在气体供给管58设置有质量流控制器(MFC)62和开闭阀64。从气体供给源60将处理气体导入气体缓冲室56时,从电极板50的气体孔50a朝向基座16上的晶片W对处理空间S喷淋状地喷出处理气体。如上所述,上部电极48兼作为用于对处理空间S供给处理气体的喷淋头。
形成于基座16及基座支承台14与腔室10的侧壁之间的环状的空间成为排气空间。在该排气空间的底部设置有腔室10的排气口72。该排气口72经由排气管与排气装置76连接。排气装置76具有涡轮分子泵等真空泵,能够将腔室10的室内特别是处理空间S减压至所期望的真空度。另外,在腔室10的侧壁安装有对晶片W的搬入搬出口78进行开闭的闸阀80。
在腔室10的外部设置有可变直流电源82。该可变直流电源82的一方的端子即输出端子经由开关84和直流供电线85与上部电极48电连接。可变直流电源82能够产生负的直流电压。此外,负的直流电压为-900V~0V的范围的电压,例如为-900V的电压。可变直流电源82的另一方的端子接地。可变直流电源82的输出(电压、电流)的绝对值和开关84的导通断开切换,在来自后述的控制部88的指示下由DC控制器83控制。
在直流供电线85的中途设置有滤波电路86。滤波电路86将来自可变直流电源82的直流电压VDC施加在上部电极48。另外,滤波电路86使从基座16通过处理空间S和上部电极48而流入直流供电线85的高频流向接地线,防止该高频向可变直流电源82侧的流入。
控制部88包括CPU(Central Processing Unit:中央处理器),ROM(Read OnlyMemory:只读存储器)、RAM(Random Access Memory:随机存取存储器),CPU按照例如RAM中所存储的各种处理方案控制处理的实施。
在该等离子体处理装置1中蚀刻晶片W时,首先将闸阀80开口,保持在搬运臂上的晶片W被搬入腔室10内。然后,晶片W载置在静电吸盘18上。在晶片W的搬入后,闸阀80关闭,从气体供给源60将处理气体以规定的流量和流量比导入腔室10内,利用排气装置76将腔室10内的压力减压至设定值。并且,从第一高频电源36将高频电力供给到基座16,根据需要也从第二高频电源38将高频偏压电力供给到基座16。由此,从喷淋头喷淋状地导入的处理气体被激励而生成等离子体。利用该等离子体中的自由基、离子等活性种将晶片W蚀刻。
再次参照图1。如图1所示,在步骤ST2后、步骤ST3前,晶片W被收纳于等离子体处理装置的腔室内,载置于静电吸盘上。然后,在方法MT1中,进行步骤ST3。
在步骤ST3中,嵌段共聚物层BCL的第二区域R2被蚀刻至该第二区域R2的膜厚的中途。在等离子体处理装置1中实施步骤ST3的情况下,从气体供给源60对腔室10内供给处理气体,利用排气装置76将腔室10内的压力减压至设定值。另外,从第一高频电源36将高频电力供给到基座16。此外,在步骤ST3中,也可以根据需要,将来自第二高频电源38的高频偏压电力供给到基座16。在步骤ST3中所使用的处理气体为用于对包含第二聚合物的第二区域R2进行蚀刻的处理气体,所以能够包含氧。例如,该处理气体能够含有O2气体。另外, 该处理气体还可以含有Ar气体等稀有气体。
在上述步骤ST3中,通过氧的活性种将由有机材料构成的嵌段共聚物层BCL从其表面进行蚀刻。在此,与由第一聚合物构成的第一区域R1相比,由第二聚合物构成的第二区域R2的蚀刻率高。因而,通过步骤ST3,第二区域R2的膜厚大幅减少。其结果是,如图2的(c)所示,第二区域R2的表面的高度比第一区域R1的表面的高度低。即,第二区域R2为比第一区域R1凹陷的状态。
接着,在方法MT1中,进行步骤ST4。在步骤ST4中,对晶片W照射二次电子。在等离子体处理装置1中进行步骤ST4的情况下,从气体供给源60对等离子体处理装置1的腔室10内供给用于产生正离子的处理气体,利用排气装置76将腔室10内的压力减压至设定值。另外,从可变直流电源82对上部电极48施加负的直流电压。在步骤ST4中所使用的处理气体是能够在其激励时产生正离子的气体,例如能够为H2气体、Ar气体等稀有气体和CF4气体等碳氟化合物类气体的任意者,或者这些气体之中一种以上的混合气体。而且,在步骤ST4中,为了使处理气体激励,从第一高频电源36将高频电力供给到基座16。此外,在步骤ST4中,可以根据需要,将来自第二高频电源38的高频偏压电力供给到基座16。
在此,参照图5。图5是用于说明步骤ST4的原理的图。在该图中,由圆包围的“+”表示正离子,由圆包围的“-”表示二次电子。对处理空间S供给处理气体,从第一高频电源36将高频电力供给到基座16时,处理气体被激励,在处理空间S内产生正离子。在处理空间S生成有正离子的状态下对上部电极48施加负的直流电压时,如图5所示,正离子与上部电极48碰撞。由此,从上部电极48产生二次电子,该二次电子照射到晶片W上。二次电子照射到晶片W的表面时,构成第一区域R1的第一聚合物固化。另一方面,照射到比第一区域R1凹陷的第二区域R2的二次电子的量变少或者不照射二次电子。这被推测是因为第二区域R2具有窄的宽度并凹陷,或者滞留在凹陷的第二区域R2的正离子的中和消耗二次电子,或者因该两者的影响而导致的。该步骤ST4的结果是,第一区域R1的固化与第二区域R2的固化相比被促进。
接着,如图1所示,在方法MT1中,进行步骤ST5。在步骤ST5中,嵌段共聚物层BCL的第二区域R2进一步被蚀刻。一实施方式中,第二区域R2被蚀刻至基底层UL的表面。在利用等离子体处理装置1实施步骤ST5的情况下,该步骤ST5与步骤ST3的蚀刻同样进行。即,在步骤ST5中,从气体供给源60将处理气体供给到腔室10内,利用排气装置76将腔室10内的压力减压至设定值。另外,从第一高频电源36将高频电力供给至基座16。此外,在步骤ST5中,可以根据需要,将来自第二高频电源38的高频偏压电力供给到基座16。在步骤ST5中所使用的处理气体为用于对包含第二聚合物的第二区域R2进行蚀刻的处理气体,所以能够包含氧。例如该处理气体能够包含O2气体。另外,该处理气体还可以包含Ar气体等稀有气体。
如上所述,步骤ST4的处理的结果是,与第二区域R2相比,第一区域R1的固化进行。因而,在步骤ST5中,与步骤ST3相比,第二区域R2的蚀刻率高于第一区域R1的蚀刻率。即,步骤ST4的处理的结果是,在步骤ST5中,有选择地进行第二区域R2的蚀刻。该步骤ST5的结果,如图2的(d)所示,第一区域R1残留在基底层UL上。由该第一区域R1形成的图案能够用作用于对基底层UL进行蚀刻的掩模。
以下,列举实施例对本发明进一步详细说明,但是本发明不限于这些实施例。
(实施例1和比较例1的制作)
首先,在晶片上形成包含PS作为第一聚合物、包含PMMA作为第二聚合物的嵌段共聚物层(步骤ST1)。接着,以250℃的温度对晶片进行加热(步骤ST2),使得第二聚合物形成圆柱状的第二区域,第一聚合物包围该第二区域而形成第一区域。接着,使用等离子体处理装置1进行以下所示的处理条件的步骤ST3~ST5,而获得实施例1的晶片。
(步骤ST3的条件)
腔室10内压力:75mT(10Pa)
第一高频电源36的高频电力:40MHz、100W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:0V
处理气体:50sccm的O2、850sccm的Ar
晶片温度:30℃
处理时间:10秒
(步骤ST4的条件)
腔室10内压力:50mT(6.666Pa)
第一高频电源36的高频电力:40MHz、300W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:-900V
处理气体:150sccm的H2、1200sccm的Ar、30sccm的CF4
晶片温度:30℃
处理时间:20秒
(步骤ST5的条件)
腔室10内压力:75mT(10Pa)
第一高频电源36的高频电力:40MHz、100W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:0V
处理气体:50sccm的O2、850sccm的Ar
晶片温度:30℃
处理时间:10秒
另外,为了比较例1的制作而进行了与实施例1的制作中的步骤ST1和步骤ST2的处理条件同样的处理条件的处理后,使用等离子体处理装置1进行以下所示的处理条件的蚀刻,而获得比较例1的晶片。
(比较例1的制作的蚀刻条件)
腔室10内压力:75mT(10Pa)
第一高频电源36的高频电力:40MHz、100W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:0V
处理气体:50sccm的O2、850sccm的Ar
晶片温度:30℃
处理时间:20秒
(实施例1和比较例1的评价)
拍摄实施例1的晶片和比较例1的晶片的截面和上表面的SEM照片。然后,如图6的(a)所示,利用截面SEM照片,求出第一区域R1的膜厚的处理前后的变化量、第二区域R2膜厚的处理前后的变化量,将这些变化量分别作为第一区域R1的蚀刻量EA1、第二区域R2的蚀刻量EA2。然后,针对实施例1的晶片和比较例1的晶片分别计算出EA2/EA1。此外,EA2/EA1的数值大表示相对于第一区域R1进一步有选择地蚀刻第二区域R2。另外,如图6的(b)所示,利用上表面的SEM照片,求出通过蚀刻第二区域R2而形成的开口的长径Ma和短径Mi,计算出Ma/Mi作为表示该开口的真圆度的参数。此外,Ma/Mi越靠近1表示开口越接近真圆。该评价的结果是,实施例1的EA2/EA1为13.8,实施例1的Ma/Mi为1.15。另一方面,比较例1的EA2/EA1为8.0,比较例1的Ma/Mi为1.21。根据以上的结果确认了,实施例1的制作所使用的方法MT1,与比较例1的制作所使用的方法、即不进行照射二次电子的步骤ST4的处理而蚀刻嵌段共聚物层的方法相比,能够进一步有选择地蚀刻第二区域R2,且能够以第二区域R2的蚀刻后所形成的开口更加接近真圆的方式蚀刻该第二区域R2。
(实施例2~3和比较例2的制作)
以与实施例1的制作中的处理条件相同的条件进行步骤ST1~步骤ST5,获得实施例2的晶片。另外,以与实施例2的制作中的处理条件仅步骤ST3的处理时间为5秒、步骤ST5的处理时间为15秒这方面不同的处理条件进行步骤ST1~ST5,获得实施例3的晶片。另外,以与实施例2的制作的步骤ST1和步骤ST2的处理条件相同的处理条件获得嵌段共聚物层后,进行以下所示的处理条件的固化处理和蚀刻,获得比较例2的晶片。
(比较例2的制作中的固化处理的条件)
腔室10内压力:50mT(6.666Pa)
第一高频电源36的高频电力:40MHz、300W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:-900V
处理气体:150sccm的H2、1200sccm的Ar、30sccm的CF4
晶片温度:30℃
处理时间:20秒
(比较例2的制作的蚀刻条件)
腔室10内压力:75mT(10Pa)
第一高频电源36的高频电力:40MHz、100W
第二高频电源的高频偏压电力:13MHz、0W
可变直流电源82的直流电压:0V
处理气体:50sccm的O2、850sccm的Ar
晶片温度:30℃
处理时间:20秒
(实施例2~3和比较例2的评价)
拍摄实施例2~3的晶片和比较例2的晶片的截面和上表面的SEM照片。然后,针对实施例2~3和比较例2的晶片,也求出EA2/EA1和Ma/Mi。该评价的结果是,实施例2的EA2/EA1为13.8,实施例2的Ma/Mi为1.15。另外,实施例3的EA2/EA1为10.9,实施例3的Ma/Mi为1.33。另外,比较例2的EA2/EA1为7.0,比较例2的Ma/Mi为1.38。
根据以上的评价结果确认了,在不进行用于使第一区域R1和第二区域R2的表面的高度不同的蚀刻、即不进行使第二区域R2比第一区域R1凹陷的的蚀刻而进行二次电子的照射、之后进行了嵌段共聚物层的蚀刻的比较例2中,与实施例2和实施例3相比第二区域R2的蚀刻相对于第一区域R1的蚀刻的选择比相当低,与实施例2相比蚀刻后所形成的开口的真圆度也变得相当低。其原因认为是,当不使第二区域R2比第一区域R1凹陷就进行二次电子的照射时,第二区域R2也与第一区域R1同样地固化,在之后的蚀刻中,不能相对于第一区域R1有选择地蚀刻第二区域R2。另一方面,根据实施例2和实施例3的制作所使用的方法MT1确认了,与比较例2的制作所使用的方法相比,能够进一步有选择地蚀刻第二区域R2,且能够以在第二区域R2的蚀刻后所形成的开口更接近真圆的方式蚀刻该第二区域R2。进而,根据实施例2和实施例3的评价结果的对比确认了,在照射二次电子前,与进行5秒的蚀刻相比,进行10秒的蚀刻能够进一步有选择地蚀刻第二 区域R2,且在第二区域R2的除去后所形成的孔的形状更接近真圆。
以上,对本发明的一实施方式进行了说明,但是不限于上述实施方式,能够构成各种变形方式。例如,嵌段共聚物不限于PS-b-PMMA。嵌段共聚物例如可以为PS-b-PMMA以外的其他链状嵌段共聚物、具有其它结构的嵌段共聚物,例如星形共聚物、支化共聚物、超支化共聚物和接枝共聚物。
嵌段能够由各种不同的能够聚合的单体进行衍生,在此嵌段不限于此,能够包括含有聚二烯的聚烯烃、含有聚(环氧烷)(例如聚(环氧乙烷)、聚(环氧丙烷)、聚(环氧丁烷)、或者这些无规或嵌段共聚物等)的聚醚、聚((甲基)丙烯酸酯)、聚苯乙烯、聚酯、聚硅氧烷、聚有机锗氧烷等。
嵌段共聚物的嵌段作为单体能够包括C2-30烯烃单体、来自C1-30乙醇的(甲基)丙烯酸酯单体、含有以Fe、Si、Ge、Sn、Al、Ti为基体的位置的含无机单体、或者含有上述单体中的至少一个的组合。嵌段内使用的单体,作为C2-30烯烃单体能够包含乙烯、丙烯、1-丁烯、1,3-丁二烯、异戊二烯、乙酸乙烯酯、二氢吡喃、降冰片烯、马来酸酐(顺丁烯二酸酐,maleicanhydride)、苯乙烯、4-羟基苯乙烯、4-乙酰氧基苯乙烯、4-甲基苯乙烯或者α-甲基苯乙烯。单体,作为(甲基)丙烯酸酯单体,能够包含(甲基)丙烯酸甲酯、(甲基)丙烯酸乙酯、(甲基)丙烯酸正丙酯、(甲基)丙烯酸异丙酯、(甲基)丙烯酸正丁酯、(甲基)丙烯酸异丁酯、(甲基)丙烯酸正戊酯、(甲基)丙烯酸异戊酯、(甲基)丙烯酸新戊酯、(甲基)丙烯酸正己酯、(甲基)丙烯酸环己酯、(甲基)丙烯酸异冰片酯、或者(甲基)丙烯酸羟乙酯。能够使用这些单体的两个或者以上的组合。作为均聚物的嵌段,能够包含使用苯乙烯(例如聚苯乙烯嵌段)、或者聚(甲基丙烯酸甲酯)那样的(甲基)丙烯酸酯的均聚物嵌段而调制的嵌段。无规嵌段例如能够包含无规地共聚的苯乙烯和甲基丙烯酸甲酯(例如聚(苯乙烯-共-甲基丙烯酸甲酯))的嵌段。代替的共聚物嵌段能够包含苯乙烯和马来酸酐(maleic anhydride)的嵌段,已知由于它们在大部分的条件下马来酸酐不能均聚合化所以形成苯乙烯-马来酸酐2分子重复结构(例如聚(苯乙烯-alt-马来酸酐))。这样的嵌段是例示的嵌段,应理解为不能认为是限定的嵌段。
而且,嵌段共聚物例如包含聚(苯乙烯-b-乙烯基吡啶)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-异戊二烯)、聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-链烯基芳香族化合物)、聚(异戊二烯-b-环氧乙烷)、聚(苯乙烯-b-(乙烯-丙烯))、聚(环氧乙烷-b-己内酯)、聚(丁二烯-b-环氧乙烷)、聚(苯乙烯-b-(甲基)丙烯酸叔丁酯)、聚(甲基丙烯酸甲酯-b-甲基丙烯酸叔丁酯)、聚(环氧乙烷-b-环氧丙烷)、聚(苯乙烯-b-四氢呋喃),聚(苯乙烯-b-异戊二烯-b-环氧乙烷),聚(苯乙烯-b-二甲基硅氧烷)、聚(甲基丙烯酸甲酯-b-二甲基硅氧烷)、或者含有上述嵌段共聚物的至少一个的组合等双嵌段或者三嵌段共聚物。
嵌段共聚物希望具有能够进行进一步处理的整体的分子量和多分散性。例如,嵌段共聚物能够具有3,000至400,000g/mol的重量平均分子量(Mw)。同样,嵌段共聚物能能够具有1,000至200,000的数量平均分子量(Mn)。另外,嵌段共聚物能够具有1.01至6的多分散性(Mw/Mn),但是不特别限定于此。Mw和Mn两者的分子量例如能够利用凝胶渗透色谱,使用相对于聚苯乙烯标准校准的通用校准法进行决定。
附图标记说明
1…等离子体处理装置;10…腔室;16…基座;18…静电吸盘;36…第一高频电源;38…第二高频电源;48…上部电极;82…可变直流电源;W…晶片;BCL…嵌段共聚物层;R1…第一区域;R2…第二区域。

Claims (2)

1.一种在被处理体的基底层上形成图案的方法,其特征在于,包括:
在所述基底层上形成包含第一聚合物和第二聚合物的能够自组织化的嵌段共聚物层的步骤;
对所述被处理体进行处理,以使得在所述嵌段共聚物层形成包含所述第一聚合物的第一区域和包含所述第二聚合物的第二区域的步骤;
在对所述被处理体进行处理的步骤之后,在电容耦合型的等离子体处理装置内对所述第二区域进行蚀刻直至所述第二区域的膜厚的中途的步骤;
在对所述第二区域进行蚀刻的步骤之后,对所述等离子体处理装置的上部电极施加负的直流电压而从该上部电极产生二次电子,并将所述二次电子照射到所述被处理体的步骤;和
在将所述二次电子照射到所述被处理体的步骤之后,在所述等离子体处理装置内进一步对所述第二区域进行蚀刻的步骤。
2.如权利要求1所述的方法,其特征在于:
所述第一聚合物为聚苯乙烯,所述第二聚合物为聚甲基丙烯酸甲酯。
CN201480016295.XA 2013-04-16 2014-04-09 形成图案的方法 Active CN105051870B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2013-085940 2013-04-16
JP2013085940A JP6029522B2 (ja) 2013-04-16 2013-04-16 パターンを形成する方法
PCT/JP2014/060307 WO2014171377A1 (ja) 2013-04-16 2014-04-09 パターンを形成する方法

Publications (2)

Publication Number Publication Date
CN105051870A CN105051870A (zh) 2015-11-11
CN105051870B true CN105051870B (zh) 2017-03-29

Family

ID=51731320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480016295.XA Active CN105051870B (zh) 2013-04-16 2014-04-09 形成图案的方法

Country Status (8)

Country Link
US (1) US9412618B2 (zh)
EP (1) EP2975633B1 (zh)
JP (1) JP6029522B2 (zh)
KR (1) KR102113278B1 (zh)
CN (1) CN105051870B (zh)
SG (1) SG11201507705TA (zh)
TW (1) TWI594320B (zh)
WO (1) WO2014171377A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2823975T3 (es) 2010-10-27 2021-05-11 Rize Inc Proceso y aparato para la fabricación de objetos tridimensionales
US9733566B2 (en) * 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
JP6643876B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
CN105845553B (zh) * 2016-04-01 2018-06-01 江苏大学 基于碳化硅衬底的石墨烯场效应晶体管阵列的制备方法
CN108231984A (zh) * 2018-01-31 2018-06-29 华南理工大学 一种相分离手段实现的钙钛矿图案化膜片及其制作方法
CN116837349A (zh) * 2018-07-26 2023-10-03 东京毅力科创株式会社 等离子体处理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983065A (zh) * 2011-09-06 2013-03-20 中芯国际集成电路制造(北京)有限公司 图案、掩模图案形成方法和半导体器件制造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
JPH0224661A (ja) 1988-07-14 1990-01-26 Fujitsu Ltd レジスト・パターン形成方法
DK1704585T3 (en) * 2003-12-19 2017-05-22 Univ North Carolina Chapel Hill Methods for preparing isolated micro- and nanostructures using soft lithography or printing lithography
WO2006076603A2 (en) * 2005-01-14 2006-07-20 Cabot Corporation Printable electrical conductors
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US7968453B2 (en) * 2006-10-12 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device, and etching apparatus
US8343578B2 (en) * 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5171683B2 (ja) 2009-02-18 2013-03-27 東京エレクトロン株式会社 プラズマ処理方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
WO2011036816A1 (ja) * 2009-09-28 2011-03-31 株式会社 東芝 パターン形成方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
KR20130034778A (ko) * 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
JP5973763B2 (ja) * 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983065A (zh) * 2011-09-06 2013-03-20 中芯国际集成电路制造(北京)有限公司 图案、掩模图案形成方法和半导体器件制造方法

Also Published As

Publication number Publication date
EP2975633A4 (en) 2016-10-26
US20160042970A1 (en) 2016-02-11
JP6029522B2 (ja) 2016-11-24
CN105051870A (zh) 2015-11-11
US9412618B2 (en) 2016-08-09
KR102113278B1 (ko) 2020-05-21
TW201507023A (zh) 2015-02-16
KR20150143435A (ko) 2015-12-23
SG11201507705TA (en) 2015-10-29
EP2975633B1 (en) 2019-09-11
TWI594320B (zh) 2017-08-01
EP2975633A1 (en) 2016-01-20
WO2014171377A1 (ja) 2014-10-23
JP2014209514A (ja) 2014-11-06

Similar Documents

Publication Publication Date Title
CN105051870B (zh) 形成图案的方法
CN103219234B (zh) 蚀刻方法和蚀刻装置
JP5973763B2 (ja) 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
US10763123B2 (en) Method for processing workpiece
TWI633580B (zh) 具有可調的中性之定向自組裝用旋塗式層
WO2015041043A1 (ja) エッチング方法
CN105453236B (zh) 蚀刻方法
KR20140007458A (ko) 표면의 제조 방법
CN108780738A (zh) 满足线边缘粗糙度及其他集成目的的等离子体处理方法
CN108346573B (zh) 一种半导体器件的制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant