CN104871298A - 干蚀刻方法 - Google Patents

干蚀刻方法 Download PDF

Info

Publication number
CN104871298A
CN104871298A CN201380068003.2A CN201380068003A CN104871298A CN 104871298 A CN104871298 A CN 104871298A CN 201380068003 A CN201380068003 A CN 201380068003A CN 104871298 A CN104871298 A CN 104871298A
Authority
CN
China
Prior art keywords
etching
fluoro
normal butane
film
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380068003.2A
Other languages
English (en)
Inventor
乾裕俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
Original Assignee
Nippon Zeon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Zeon Co Ltd filed Critical Nippon Zeon Co Ltd
Publication of CN104871298A publication Critical patent/CN104871298A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

本发明为一种蚀刻方法,其为使用蚀刻气体,对于含有至少一层的硅氧化膜层和至少一层的硅氮化膜层的多层层叠膜两者同时进行蚀刻的方法,含有式(1):CxHyFz(式中,x为4、y为4以上的整数、z为正整数、y+z为10)所示的链状饱和氟代烃化合物。根据本发明的蚀刻方法,即使在多层层叠膜的蚀刻中,孔也不会被堆积膜堵塞,可以得到对掩模的高的选择性和良好的图案形状。

Description

干蚀刻方法
技术领域
本发明涉及使用含有特定的氟化合物的蚀刻气体对含有硅氧化膜层和硅氮化膜层的多层层叠膜进行蚀刻的蚀刻方法。
背景技术
半导体的制造工序中,有使用蚀刻气体,将抗蚀剂、有机膜作为掩模,对具有硅氧化膜和硅氮化膜的层叠膜进行蚀刻的工序。
作为以往的蚀刻方法,例如专利文献1中提出了使用碳原子数为3~5的氟代烃化合物作为蚀刻气体,对于含有至少一层的硅氧化膜层和至少一层的硅氮化膜层的层叠膜进行两者同时蚀刻的方法。该文献的实施例中,使用作为碳原子数为5的环状化合物的1,3,3,4,4,5,5-七氟环戊烯(式:C5HF7)、作为碳原子数为5的链状化合物的1,1,1,3,4,4,5,5,5-九氟-2-戊烯(式:C5HF9),对由一层硅氧化膜和一层硅氮化膜形成的层叠膜进行蚀刻,显示两层膜相对于抗蚀剂的选择性升高,并且接触孔的图案形状变得良好。
现有技术文献
专利文献
专利文献1:日本特开2008-300616号公报。
发明内容
发明要解决的问题
基于上述现有技术,本发明人发现,使用专利文献1的实施例中使用的不饱和氟代烃化合物C5HF7,隔着由有机膜形成的掩模,对于硅氧化膜层和硅氮化膜层交替层叠的四层层叠膜进行蚀刻,结果相对于掩模的多层层叠膜的选择性低,另外,接触孔有被堆积膜堵塞的情况。
本发明是鉴于上述现有技术而提出的,其课题在于,提供即使是四层以上的多层层叠膜,接触孔也不会被堆积膜堵塞,得到相对于掩模的高的选择性和良好的图案形状的蚀刻方法。
用于解决问题的方案
本发明人为了解决上述问题而进行了深入研究。结果发现,若作为蚀刻气体使用不具有不饱和键的碳原子数为4的氟代烃化合物的气体,则即使是4层以上的多层层叠膜,接触孔也不会被堆积膜堵塞,得到相对于掩模的高的选择性和良好的图案形状,从而完成了本发明。
如此根据本发明,提供下述(1)~(5)的蚀刻方法。
(1)蚀刻方法,其特征在于,其是使用蚀刻气体,对于含有至少一层的硅氧化膜层和至少一层的硅氮化膜层的多层层叠膜两者同时进行蚀刻的方法,前述蚀刻气体含有式(1):CxHyFz(式中,x为4、y为4以上的整数、z为正整数、y+z为10)所示的链状饱和氟代烃化合物。
(2)根据(1)所述的蚀刻方法,其特征在于,前述蚀刻气体还含有氧气。
(3)根据(2)所述的蚀刻方法,其特征在于,前述蚀刻气体还含有选自氦、氩、氖、氪和氙中的0族气体一种以上。
(4)根据(1)所述的蚀刻方法,其特征在于,对于前述多层层叠膜,将设置于其上部的有机膜作为掩模进行蚀刻。
(5)根据(1)所述的蚀刻方法,其特征在于,前述链状饱和氟代烃化合物为选自2-氟-正丁烷(式:C4H9F)、2,2-二氟-正丁烷(式:C4H8F2)、1,1,1,3,3,-五氟-正丁烷(式:C4H5F5)和1,1,1,4,4,4-六氟-正丁烷(式:C4H4F6)中的化合物。
发明效果
根据本发明,于多层层叠膜形成长宽比高的接触孔(以下有时仅称为“孔”)的情况下,孔不会被堆积膜堵塞,可以以相对于掩模的高选择性形成具有侧壁形状良好的矩形的孔形状(侧壁没有异常的突起部位等,侧壁光滑的孔形状),即,可以进行图案形状良好的蚀刻。
具体实施方式
以下对本发明进行详细说明。
本发明的蚀刻方法的特征在于,其是使用蚀刻气体,对于含有至少一层的硅氧化膜层和至少一层的硅氮化膜层的多层层叠膜两者同时进行蚀刻的方法,前述蚀刻气体含有式(1):CxHyFz(式中,x为4、y和z表示正整数、y+z为10,并且y为4以上)所示的链状饱和氟代烃化合物(以下称为“氟代烃化合物(1)”)。
<多层层叠膜>
作为本发明的蚀刻方法的被处理体的多层层叠膜,含有至少一层的硅氧化膜层和至少一层的硅氮化膜层。其中,作为多层层叠膜,优选硅氧化膜层和硅氮化膜层交替层叠的多层层叠膜,更优选在硅基板上作为蚀刻对象的硅氧化膜层和硅氮化膜层交替层叠四层以上的多层层叠膜。
具体而言,可列举在硅基板上作为蚀刻对象的硅氧化膜层和硅氮化膜层交替层叠64层的总计128层的多层层叠膜。
根据本发明的蚀刻方法,即使被处理体为4层以上的多层层叠膜,接触孔也不会被堆积膜堵塞,得到相对于掩模的高的选择性和良好的图案形状。
<蚀刻气体>
本发明中,作为蚀刻气体使用含有氟代烃化合物(1)的气体。
全部蚀刻气体中的氟代烃化合物(1)的含量相对于总流量设定于1~20容量%即可。
具体而言,可列举1-氟-正丁烷、2-氟-正丁烷、2-氟-2-甲基丙烷等式:C4H9F所示的饱和氟代烃;
1,1-二氟-正丁烷、1,2-二氟-正丁烷、1,3-二氟-正丁烷、1,4-二氟-正丁烷、2,3-二氟-正丁烷、2,2-二氟-正丁烷、1,3-二氟-2-甲基丙烷、1,2-二氟-2-甲基丙烷、1,1-二氟-2-甲基丙烷等式:C4H8F2所示的饱和氟代烃;
1,1,1-三氟-正丁烷、1,1,2-三氟-正丁烷、1,1,3-三氟-正丁烷、1,1,4-三氟-正丁烷、1,1,1-三氟-2-甲基丙烷、1,1,3-三氟-2-甲基丙烷等式:C4H7F3所示的饱和氟代烃;
1,1,1,4-四氟-正丁烷、1,2,3,4-四氟-正丁烷、1,1,1,2-四氟-正丁烷、1,2,3,3-四氟-正丁烷、2,2,3,3-四氟-正丁烷、1,1,3,3-四氟-2-甲基丙烷、1,1,3-三氟-2-氟甲基丙烷、1,1,2,3-四氟-2-甲基丙烷、1,2,3-三氟-2-氟甲基丙烷、1,1,1,2-四氟-2-甲基丙烷等式:C4H6F4所示的饱和氟代烃;
1,1,1,3,3,-五氟-正丁烷、1,1,1,3,4,-五氟-正丁烷、1,1,1,4,4,-五氟-正丁烷等式:C4H5F5所示的饱和氟代烃;和1,1,1,4,4,4-六氟-正丁烷、1,1,1,3,4,4-六氟-正丁烷、1,1,1,3,3,3-六氟-2-甲基丙烷等式:C4H4F6所示的饱和氟代烃;等。
它们之中,从更显著地表现出本发明效果的观点考虑,优选2-氟-正丁烷(式:C4H9F)、2,2-二氟-正丁烷(式:C4H8F2)、1,1,1,3,3,-五氟-正丁烷(式:C4H5F5)、或1,1,1,4,4,4-六氟-正丁烷(式:C4H4F6)。
氟代烃化合物(1)可以单独使用一种或者将两种以上混合来使用。从更显著地表现出本发明的效果的观点考虑,优选单独使用一种。
氟代烃化合物(1)大部分为公知物质,可以通过公知的制造方法制造、获得。例如2-氟-正丁烷可以通过J.Org.Chem.,44(22),3872(1987)中记载的方法制造、获得,2,2-二氟-正丁烷可以通过日本特开平05-221892号公报、日本特开平06-100475号公报记载的方法等制造、获得,1,1,1,3,3-五氟-正丁烷可以通过日本特开平05-171185号公报、日本特开平08-198783号公报记载的方法等制造、获得,1,1,1,4,4,4-六氟-正丁烷可以通过日本特开平05-155788号公报、日本特开平08-003081号公报记载的方法等制造、获得。
另外,本发明中,作为氟代烃化合物(1),也可以直接使用市售品或者根据需要精制使用。
氟代烃化合物(1)优选为高纯度的。通过使用高纯度的氟代烃化合物(1),可更容易地得到本发明效果。
若氟代烃化合物(1)的纯度过低,则在填充了气体的容器内,有时产生气体纯度(氟代烃化合物(1)的含量)的不均。具体而言,使用初期阶段与剩余量变少了的阶段的气体纯度有大幅不同的情况。
这种情况下,进行干蚀刻时,使用初期阶段与剩余量变少了的阶段中,使用各气体时的性能产生大的差异,在工厂的生产线中有可能导致成品率的降低。
通过提高纯度,容器内的气体纯度的不均消失,因此在使用初期阶段与剩余量变少了的阶段中,使用气体时的性能的差异消失,在工厂的生产线中成品率提高,能够不浪费地使用气体。
氟代烃化合物(1)填充于任意容器、例如与以往的半导体用气体同样地填充于料筒等容器而用于后述的蚀刻。
本发明中使用的蚀刻气体,优选除了前述氟代烃化合物(1)之外还含有氧气和/或氮气,更优选含有氧气。
通过并用氧气和/或氮气,可以防止认为由于孔底面的反应物的堆积等所导致的蚀刻的停止(etching stop)的同时,可确保相对于掩模的高的选择性。
在此,相对于掩模的选择性高指的是,掩模(不想要进行蚀刻的膜)与多层层叠膜(想要进行蚀刻的膜)的蚀刻速度之比,即((硅氧化膜和硅氮化膜的平均蚀刻速度)/掩模的蚀刻速度)的值(选择比)高。
硅氧化膜和硅氮化膜的平均蚀刻速度通过下式求出。
[数学式1]
(2×(硅氧化膜的蚀刻速度)×(硅氮化膜的蚀刻速度))/((硅氧化膜的蚀刻速度)+(硅氮化膜的蚀刻速度))
氧气和/或氮气的使用比率,按氧气和/或氮气的总计与氟代烃化合物(1)的容量比((氧气和/或氮气的总容量)/氟代烃化合物(1)的容量)计,优选为0.1~50,更优选为0.5~30。
本发明中,作为蚀刻气体,优选还含有选自氦、氩、氖、氪和氙中的至少一种0族气体。它们之中,从获得的容易程度考虑,优选含有氦或氩气。
通过使用0族气体,可以提高等离子体密度,提高蚀刻速度。
0族气体的使用比率,按0族气体与氟代烃化合物(1)的容量比(0族气体的容量/氟代烃化合物(1)的容量)计,优选为0.1~100,更优选为0.5~50。
<蚀刻方法>
本发明的蚀刻方法中,“蚀刻”指的是于半导体制造装置的制造工序等中使用的被处理体雕刻极其高集成化的微细图案的技术。另外,作为蚀刻的一例,有等离子体蚀刻。在此,“等离子体蚀刻”指的是对蚀刻气体(反应性等离子体气体)施加高频电场使其产生辉光放电,将气体化合物分离为化学上活性的离子、自由基,利用其化学反应进行蚀刻。
具体而言,首先向设置了被处理体的处理室内导入蚀刻气体,接着通过等离子体产生装置产生等离子体,在等离子体气氛下进行蚀刻。
导入了蚀刻气体的处理室内的压力通常为0.0013~1300Pa,优选为0.13~13Pa。
氟代烃化合物(1)的导入速度优选为1~50sccm,更优选为5~20sccm。使用氧气和/或氮气的情况下,其导入速度优选为0~200sccm,更优选为0~80sccm。使用0族气体的情况下,其导入速度优选为0~1000sccm,更优选为0~400sccm。
作为等离子体产生装置,可列举出螺旋波方式、高频感应方式、平行平板类型、磁控管方式和微波方式等的装置。
通过等离子体产生装置,可以对处理室内的氟代烃化合物(1)施加高频电场使其产生辉光放电,从而产生等离子体。
对等离子体密度没有特别限定。从更良好地表现出本发明效果的观点考虑,优选的是,在等离子体密度优选为1011cm-3以上、更优选为1012~1013cm-3的高密度等离子体气氛下进行蚀刻。
对蚀刻时的被处理基板的到达温度没有特别限定,但是优选为0~300℃,更优选为0~100℃,进一步优选为0~80℃的范围。基板的温度可以通过冷却等控制或者不控制。
本发明中,通常对于多层层叠膜,在其上部设置形成了图案的掩模来进行蚀刻。
作为掩模,通常使用有机膜。作为有机膜,优选使用耐蚀刻性高的无定形碳膜。
本发明中,前述氟代烃化合物(1)具有相对于掩模的高的选择性,因此不会破坏掩模,即使是硅氧化膜层和硅氮化膜层交替层叠四层以上的多层层叠膜,孔也不会被堆积膜堵塞,可以进行侧壁形状良好的蚀刻。
实施例
以下列举实施例对本发明进行更具体的说明,但是本发明不被这些实施例所限定。
(实施例1)
(i)选择比的算出
在平行平板型等离子体蚀刻装置的蚀刻腔内分别设置在硅基板表面形成有硅氧化膜(厚度2000nm)的晶圆、在硅基板表面形成有硅氮化膜(厚度1000nm)的晶圆、在硅基板表面形成有无定形碳膜(厚度200nm)的晶圆。
使得系统内形成真空(2Pa)之后,将2-氟-正丁烷(式:C4H9F、下表1中称为氟代烃化合物(1-1))以10sccm的速度,将氧以30sccm的速度以及将氩以200sccm的速度导入到蚀刻腔内,在下述蚀刻条件下对各晶圆进行蚀刻。
<蚀刻条件>
上部电极的高频电源的功率:300W
下部电极的高频电源的功率:600W
电极温度:0℃
求出形成有硅氧化膜的晶圆的蚀刻速度[nm/min]、形成有硅氮化膜的晶圆的蚀刻速度[nm/min],通过下式算出硅氧化膜和硅氮化膜的平均蚀刻速度[nm/min]。
[数学式2]
(2×(硅氧化膜的蚀刻速度)×(硅氮化膜的蚀刻速度))/((硅氧化膜的蚀刻速度)+(硅氮化膜的蚀刻速度))
求出无定形碳膜(掩模)的蚀刻速度[nm/min],求出硅氧化膜和硅氮化膜的平均蚀刻速度与无定形碳膜的蚀刻速度之比(选择比)。结果如下表1所示。
[数学式3]
(硅氧化膜和硅氮化膜的平均蚀刻速度/掩模的蚀刻速度)
(ii)多层层叠膜的蚀刻
在硅基板上依次层叠第一硅氮化膜(厚度100nm)、第一硅氧化膜(厚度100nm)、第二硅氮化膜(厚度100nm)、第二硅氧化膜(厚度100nm),得到四层层叠膜(多层层叠膜),在所得到的四层层叠膜(多层层叠膜)上形成无定形碳膜,所述无定形碳膜形成有规定的孔图案,由此得到晶圆,对于该晶圆,通过与前述(i)同样的方法进行蚀刻。
蚀刻后,观察掩模(无定形碳膜)是否消失,利用扫描型电子显微镜观察通过蚀刻形成的孔,进而确认孔是否堵塞,评价图案形状是否良好。其结果如下表1所示。
(实施例2~4、比较例1~5)
在实施例1中,使用下述所示的氟代烃化合物来替代2-氟-正丁烷(式:C4H9F),除此之外与实施例1同样地,(i)对于在硅基板表面形成有硅氧化膜、硅氮化膜、无定形碳膜的晶圆分别进行蚀刻,算出硅氧化膜和硅氮化膜的平均蚀刻速度与无定形碳膜的蚀刻速度之比(选择比)。进而,(ii)对于四层层叠膜晶圆进行蚀刻,观察蚀刻后的掩模(无定形碳膜)是否消失、孔是否堵塞、以及图案形状。其结果如下表1所示。
氟代烃化合物(1-2):2,2-二氟-正丁烷(式:C4H8F2)
氟代烃化合物(1-3):1,1,1,3,3,-五氟-正丁烷(式:C4H5F5)
氟代烃化合物(1-4):1,1,1,4,4,4-六氟-正丁烷(式:C4H4F6)
氟代烃化合物(2):二氟甲烷(式:CH2F2)
氟代烃化合物(3):1,1,1,2,2,3,4,4,4-九氟丁烷(式:C4HF9)
氟代烃化合物(4):全氟环丁烷(式:C4F8)
氟代烃化合物(5):六氟-1,3-丁二烯(式:C4F6)
氟代烃化合物(6):1,3,3,4,4,5,5-七氟环戊烯(式:C5HF7)。
由表1可知,作为蚀刻气体使用氟代烃化合物(1)的实施例1~4中,相对于掩模的选择性高、接触孔不会被堆积膜堵塞、可以进行图案形状良好的蚀刻。
另一方面,作为蚀刻气体使用氟代烃化合物(2)~(4)的比较例1~3中,由于选择比低,蚀刻后,无定形碳膜消失,通过无定形碳膜掩蔽的部分的第二硅氧化膜也被蚀刻。比较例2中,相对于硅氧化膜的蚀刻速度,硅氮化膜的蚀刻速度高,因此硅氮化膜在水平方向也被蚀刻,侧壁形状也差。
另外,使用了具有不饱和键的氟代烃化合物(5)、(6)的比较例4、5中,蚀刻中孔被堆积膜堵塞,不能将四层层叠膜晶圆全部蚀刻。

Claims (5)

1. 蚀刻方法,其特征在于,其是使用蚀刻气体,对于含有至少一层的硅氧化膜层和至少一层的硅氮化膜层的多层层叠膜两者同时进行蚀刻的方法,所述蚀刻气体含有式(1):CxHyFz(式中,x为4、y为4以上的整数、z为正整数、y+z为10)所示的链状饱和氟代烃化合物。
2. 根据权利要求1所述的蚀刻方法,其特征在于,所述蚀刻气体还含有氧气。
3. 根据权利要求2所述的蚀刻方法,其特征在于,所述蚀刻气体还含有选自氦、氩、氖、氪和氙中的0族气体一种以上。
4. 根据权利要求1所述的蚀刻方法,其特征在于,对于所述多层层叠膜,将设置于其上部的有机膜作为掩模进行蚀刻。
5. 根据权利要求1所述的蚀刻方法,其特征在于,所述链状饱和氟代烃化合物为选自2-氟-正丁烷(式:C4H9F)、2,2-二氟-正丁烷(式:C4H8F2)、1,1,1,3,3,-五氟-正丁烷(式:C4H5F5)和1,1,1,4,4,4-六氟-正丁烷(式:C4H4F6)中的化合物。
CN201380068003.2A 2012-12-27 2013-12-27 干蚀刻方法 Pending CN104871298A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012284353 2012-12-27
JP2012-284353 2012-12-27
PCT/JP2013/085091 WO2014104290A1 (ja) 2012-12-27 2013-12-27 ドライエッチング方法

Publications (1)

Publication Number Publication Date
CN104871298A true CN104871298A (zh) 2015-08-26

Family

ID=51021359

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380068003.2A Pending CN104871298A (zh) 2012-12-27 2013-12-27 干蚀刻方法

Country Status (5)

Country Link
US (1) US20150357200A1 (zh)
JP (1) JPWO2014104290A1 (zh)
KR (1) KR20150099515A (zh)
CN (1) CN104871298A (zh)
WO (1) WO2014104290A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110546742A (zh) * 2017-04-06 2019-12-06 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
CN111213224A (zh) * 2017-11-02 2020-05-29 昭和电工株式会社 蚀刻方法及半导体的制造方法
CN112673459A (zh) * 2018-09-11 2021-04-16 铠侠股份有限公司 半导体装置的制造方法和蚀刻气体

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984896B2 (en) 2013-10-30 2018-05-29 Zeon Corporation High-purity fluorinated hydrocarbon, use as a plasma etching gas, and plasma etching method
WO2016117563A1 (ja) * 2015-01-22 2016-07-28 日本ゼオン株式会社 プラズマエッチング方法
JP6636250B2 (ja) * 2015-02-12 2020-01-29 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
CN106298502B (zh) * 2015-05-18 2019-04-09 中微半导体设备(上海)股份有限公司 一种利用等离子体对多层材料刻蚀的方法
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10629449B2 (en) 2016-10-13 2020-04-21 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
GB2574879B (en) * 2018-06-22 2022-12-28 X Fab Semiconductor Foundries Gmbh Substrates for III-nitride epitaxy
US11258012B2 (en) * 2018-12-19 2022-02-22 Tokyo Electron Limited Oxygen-free plasma etching for contact etching of resistive random access memory
KR20220122260A (ko) 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1921072A (zh) * 2005-08-22 2007-02-28 应用材料公司 一种蚀刻高介电常数材料的方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
US20120244718A1 (en) * 2011-03-23 2012-09-27 Tokyo Electron Limited Substrate processing method and storage medium

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1921072A (zh) * 2005-08-22 2007-02-28 应用材料公司 一种蚀刻高介电常数材料的方法
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
US20120244718A1 (en) * 2011-03-23 2012-09-27 Tokyo Electron Limited Substrate processing method and storage medium

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110546742A (zh) * 2017-04-06 2019-12-06 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
TWI753151B (zh) * 2017-04-06 2022-01-21 日商關東電化工業股份有限公司 乾式蝕刻氣體組合物及乾式蝕刻方法
US11437244B2 (en) 2017-04-06 2022-09-06 Kanto Denka Kogyo Co., Ltd. Dry etching gas composition and dry etching method
CN110546742B (zh) * 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法
CN111213224A (zh) * 2017-11-02 2020-05-29 昭和电工株式会社 蚀刻方法及半导体的制造方法
CN111213224B (zh) * 2017-11-02 2023-12-19 株式会社力森诺科 蚀刻方法及半导体的制造方法
CN112673459A (zh) * 2018-09-11 2021-04-16 铠侠股份有限公司 半导体装置的制造方法和蚀刻气体

Also Published As

Publication number Publication date
WO2014104290A1 (ja) 2014-07-03
KR20150099515A (ko) 2015-08-31
JPWO2014104290A1 (ja) 2017-01-19
US20150357200A1 (en) 2015-12-10

Similar Documents

Publication Publication Date Title
CN104871298A (zh) 干蚀刻方法
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
JP6676724B2 (ja) 基板上にエッチング耐性ポリマー層又はs含有パッシベーション層を堆積させる方法
CN107924837B (zh) 干式蚀刻方法
US20170330759A1 (en) Etching method
JP2013080909A5 (zh)
KR20130141436A (ko) 식각 방법
KR102547222B1 (ko) 드라이 에칭 방법
CN103578973B (zh) 氮化硅高深宽比孔的循环刻蚀方法
CN110832623A (zh) 蚀刻方法和等离子体蚀刻材料
WO2012133401A1 (ja) プラズマエッチングガス及びプラズマエッチング方法
TWI575605B (zh) 用於低蝕刻速率硬遮罩膜之具有氧摻雜之pvd氮化鋁膜
CN107112233A (zh) 等离子体蚀刻方法
TW201715020A (zh) 乾式蝕刻方法及乾式蝕刻劑
JP2012043869A (ja) エッチングガスおよびエッチング方法
CN108701613A (zh) 等离子体蚀刻方法
JP6421480B2 (ja) プラズマエッチング方法
JP6163820B2 (ja) エッチング方法
TW201426849A (zh) 用於tsv刻蝕中改善矽通孔側壁粗糙度的方法
TW201442110A (zh) 一種在矽基底刻蝕通孔的方法

Legal Events

Date Code Title Description
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150826

WD01 Invention patent application deemed withdrawn after publication