KR20150099515A - 드라이 에칭 방법 - Google Patents

드라이 에칭 방법 Download PDF

Info

Publication number
KR20150099515A
KR20150099515A KR1020157013220A KR20157013220A KR20150099515A KR 20150099515 A KR20150099515 A KR 20150099515A KR 1020157013220 A KR1020157013220 A KR 1020157013220A KR 20157013220 A KR20157013220 A KR 20157013220A KR 20150099515 A KR20150099515 A KR 20150099515A
Authority
KR
South Korea
Prior art keywords
etching
butane
gas
film
formula
Prior art date
Application number
KR1020157013220A
Other languages
English (en)
Inventor
히로토시 이누이
Original Assignee
제온 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제온 코포레이션 filed Critical 제온 코포레이션
Publication of KR20150099515A publication Critical patent/KR20150099515A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

본 발명은 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 다층 적층막을, 에칭 가스를 사용하여 양방 동시에 에칭하는 방법에 있어서, 식 (1):CxHyFz (식 중, x 는 4, y 는 4 이상의 정수, z 는 양의 정수, y + z 는 10 이다) 로 나타내는 사슬형 포화 불소화 탄화수소 화합물을 함유하는 것을 특징으로 하는 에칭 방법이다. 본 발명의 에칭 방법에 의하면, 다층 적층막의 에칭에 있어서도, 홀을 퇴적막으로 폐색시키지 않고, 마스크에 대한 높은 선택성과 양호한 패턴 형상을 얻을 수 있다.

Description

드라이 에칭 방법{DRY ETCHING METHOD}
본 발명은 실리콘 산화막층과 실리콘 질화막층을 포함하는 다층 적층막을, 특정의 불소 화합물을 함유하는 에칭 가스를 사용하여 에칭하는 에칭 방법에 관한 것이다.
반도체의 제조 공정에 있어서는, 에칭 가스를 사용하여 레지스트나 유기막을 마스크로 하여, 실리콘 산화막과 실리콘 질화막을 갖는 적층막을 에칭하는 공정이 있다.
종래의 에칭 방법으로서, 예를 들어 특허문헌 1 에는, 탄소수 3 ∼ 5 의 불소화 탄화수소 화합물을 에칭 가스로서 사용하는, 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 적층막을 양방 동시에 에칭하는 방법이 제안되어 있다. 이 문헌의 실시예에서는, 탄소수 5 의 고리형 화합물인 1,3,3,4,4,5,5-헵타플루오로시클로펜텐 (식;C5HF7), 탄소수 5 의 사슬형 화합물인 1,1,1,3,4,4,5,5,5-노나플루오로-2-펜텐 (식;C5HF9) 을 사용하고, 실리콘 산화막 1 층과 실리콘 질화막 1 층으로 이루어지는 적층막을 에칭하여, 레지스트에 대한 2 층막의 선택성이 높아지는 것 및 컨택트홀의 패턴 형상이 양호해지는 것이 나타나 있다.
일본 공개특허공보 2008-300616호
이러한 종래 기술을 기초로, 본 발명자가 특허문헌 1 의 실시예에 있어서 사용하고 있는 불포화 불소화 탄화수소 화합물인 C5HF7 을 사용하고, 유기막으로 이루어지는 마스크를 개재하여, 실리콘 산화막층과 실리콘 질화막층이 교대로 적층된 4 층 적층막을 에칭한 결과, 마스크에 대한 다층 적층막의 선택성이 낮고, 또, 컨택트홀이 퇴적막으로 폐색되는 경우가 있는 것을 알 수 있었다.
본 발명은 상기한 종래 기술을 감안하여 이루어진 것으로, 4 층 이상의 다층 적층막에서도, 컨택트홀이 퇴적막으로 폐색되지 않고, 마스크에 대한 높은 선택성과 양호한 패턴 형상이 얻어지는 에칭 방법을 제공하는 것을 과제로 한다.
본 발명자들은, 상기 과제를 해결하기 위하여 예의 연구하였다. 그 결과, 에칭 가스로서 불포화 결합을 갖지 않는 탄소수 4 의 불소화 탄화수소 화합물의 가스를 사용하면, 4 층 이상의 다층 적층막에서도 컨택트홀이 퇴적막으로 폐색되지 않고, 마스크에 대한 높은 선택성과 양호한 패턴 형상이 얻어지는 것을 알아내어 본 발명을 완성시키기에 이르렀다.
이리하여, 본 발명에 의하면, 하기 (1) ∼ (5) 의 에칭 방법이 제공된다.
(1) 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 다층 적층막을, 에칭 가스를 사용하여 양방 동시에 에칭하는 방법으로서, 상기 에칭 가스가 식 (1):CxHyFz (식 중, x 는 4, y 는 4 이상의 정수, z 는 양의 정수, y + z 는 10 이다) 로 나타내는 사슬형 포화 불소화 탄화수소 화합물을 함유하는 것을 특징으로 하는 에칭 방법.
(2) 상기 에칭 가스가 산소 가스를 추가로 함유하는 것을 특징으로 하는 (1) 에 기재된 에칭 방법.
(3) 상기 에칭 가스가 헬륨, 아르곤, 네온, 크립톤 및 크세논으로 이루어지는 군에서 선택되는 0 족 가스를 1 종 이상 추가로 함유하는 것을 특징으로 하는 (2) 에 기재된 에칭 방법.
(4) 상기 다층 적층막이 그 상부에 형성된 유기막을 마스크로 하여 에칭되는 것을 특징으로 하는 (1) 에 기재된 에칭 방법.
(5) 상기 사슬형 포화 불소화 탄화수소 화합물이, 2-플루오로-n-부탄 (식;C4H9F), 2,2-디플루오로-n-부탄 (식;C4H8F2), 1,1,1,3,3-펜타플루오로-n-부탄 (식;C4H5F5) 및 1,1,1,4,4,4-헥사플루오로-n-부탄 (식;C4H4F6) 으로 이루어지는 군에서 선택되는 화합물인 것을 특징으로 하는 (1) 에 기재된 에칭 방법.
본 발명에 의하면, 다층 적층막에 애스펙트비가 높은 컨택트홀 (이하, 간단히 「홀」이라고 하는 경우가 있다) 을 형성하는 경우에, 홀이 퇴적막으로 폐색되지 않고, 마스크에 대한 높은 선택성으로, 측벽 형상의 양호한 사각형을 갖는 홀 형상 (측벽에 비정상인 돌기 부위 등이 없고, 측벽이 매끄러운 홀 형상) 을 형성하는, 즉 패턴 형상이 양호한 에칭을 실시할 수 있다.
이하, 본 발명을 상세하게 설명한다.
본 발명의 에칭 방법은, 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 다층 적층막을, 에칭 가스를 사용하여 양방 동시에 에칭하는 방법으로서, 상기 에칭 가스가 식 (1):CxHyFz (식 중, x 는 4, y 및 z 는 양의 정수를 나타내고, y + z 는 10 이고, 또한 y 가 4 이상이다) 로 나타내는 사슬형 포화 불소화 탄화수소 화합물 (이하,「불소화 탄화수소 화합물 (1)」이라고 한다) 을 함유하는 것을 특징으로 한다.
〈다층 적층막〉
본 발명의 에칭 방법의 피처리체인 다층 적층막은, 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 것이다. 그 중에서도, 다층 적층막으로는, 실리콘 산화막층 및 실리콘 질화막층을 교대로 적층하는 다층 적층막이 바람직하고, 실리콘 기판 상에 에칭 대상인 실리콘 산화막층과 실리콘 질화막층이 교대로 4 층 이상 적층된 다층 적층막이 보다 바람직하다.
구체적으로는, 실리콘 기판 상에 에칭 대상인 실리콘 산화막층과 실리콘 질화막층이 교대로 64 층 적층된 합계 128 층의 다층 적층막을 들 수 있다.
본 발명의 에칭 방법에 의하면, 피처리체가 4 층 이상인 다층 적층막에서도, 컨택트홀이 퇴적막으로 폐색되지 않고, 마스크에 대한 높은 선택성과 양호한 패턴 형상이 얻어진다.
〈에칭 가스〉
본 발명에 있어서는, 에칭 가스로서, 불소화 탄화수소 화합물 (1) 을 함유하는 가스를 사용한다.
전체 에칭 가스 중의 불소화 탄화수소 화합물 (1) 의 함유량은, 총 유량에 대하여 1 ∼ 20 용량% 에 들어가도록 설정하면 된다.
구체적으로는, 1-플루오로-n-부탄, 2-플루오로-n-부탄, 2-플루오로-2-메틸프로판 등의, 식:C4H9F 로 나타내는 포화 불소화 탄화수소;
1,1-디플루오로-n-부탄, 1,2-디플루오로-n-부탄, 1,3-디플루오로-n-부탄, 1,4-디플루오로-n-부탄, 2,3-디플루오로-n-부탄, 2,2-디플루오로-n-부탄, 1,3-디플루오로-2-메틸프로판, 1,2-디플루오로-2-메틸프로판, 1,1-디플루오로-2-메틸프로판 등의, 식:C4H8F2 로 나타내는 포화 불소화 탄화수소;
1,1,1-트리플루오로-n-부탄, 1,1,2-트리플루오로-n-부탄, 1,1,3-트리플루오로-n-부탄, 1,1,4-트리플루오로-n-부탄, 1,1,1-트리플루오로-2-메틸프로판, 1,1,3-트리플루오로-2-메틸프로판 등의, 식:C4H7F3 으로 나타내는 포화 불소화 탄화수소;
1,1,1,4-테트라플루오로-n-부탄, 1,2,3,4-테트라플루오로-n-부탄, 1,1,1,2-테트라플루오로-n-부탄, 1,2,3,3-테트라플루오로-n-부탄, 2,2,3,3-테트라플루오로-n-부탄, 1,1,3,3-테트라플루오로-2-메틸프로판, 1,1,3-트리플루오로-2-플루오로메틸프로판, 1,1,2,3-테트라플루오로-2-메틸프로판, 1,2,3-트리플루오로-2-플루오로메틸프로판, 1,1,1,2-테트라플루오로-2-메틸프로판 등의, 식:C4H6F4 로 나타내는 포화 불소화 탄화수소;
1,1,1,3,3-펜타플루오로-n-부탄, 1,1,1,3,4-펜타플루오로-n-부탄, 1,1,1,4,4-펜타플루오로-n-부탄 등의, 식:C4H5F5 로 나타내는 포화 불소화 탄화수소;및
1,1,1,4,4,4-헥사플루오로-n-부탄, 1,1,1,3,4,4-헥사플루오로-n-부탄, 1,1,1,3,3,3-헥사플루오로-2-메틸프로판 등의, 식:C4H4F6 으로 나타내는 포화 불소화 탄화수소 등을 들 수 있다.
이들 중에서도, 본 발명의 효과가 보다 현저히 나타나는 점에서, 2-플루오로-n-부탄 (식:C4H9F), 2,2-디플루오로-n-부탄 (식:C4H8F2), 1,1,1,3,3-펜타플루오로-n-부탄 (식:C4H5F5), 또는 1,1,1,4,4,4-헥사플루오로-n-부탄 (식:C4H4F6) 이 바람직하다.
불소화 탄화수소 화합물 (1) 은 1 종 단독으로, 혹은 2 종 이상을 혼합하여 사용할 수 있다. 본 발명의 효과가 보다 현저히 나타나는 점에서 1 종 단독으로 사용하는 것이 바람직하다.
불소화 탄화수소 화합물 (1) 의 대부분은 공지 물질이고, 공지된 제조 방법에 의해 제조하고 입수할 수 있다. 예를 들어, 2-플루오로-n-부탄은 J. Org. Chem., 44 (22), 3872 (1987) 에 기재된 방법에 의해, 2,2-디플루오로-n-부탄은 일본 공개특허공보 평05-221892호, 일본 공개특허공보 평06-100475호에 기재된 방법 등에 의해, 1,1,1,3,3-펜타플루오로-n-부탄은 일본 공개특허공보 평05-171185호, 일본 공개특허공보 평08-198783호에 기재된 방법 등에 의해, 1,1,1,4,4,4-헥사플루오로-n-부탄은 일본 공개특허공보 평05-155788호, 일본 공개특허공보 평08-003081호에 기재된 방법 등에 의해 각각 제조하고 입수할 수 있다.
또, 본 발명에 있어서는, 불소화 탄화수소 화합물 (1) 로서, 시판되고 있는 것을 그대로 혹은 원하는 바에 따라 정제하여 사용할 수도 있다.
불소화 탄화수소 화합물 (1) 은 고순도인 것이 바람직하다. 고순도인 것을 사용함으로써 본 발명의 효과를 보다 용이하게 얻을 수 있다.
불소화 탄화수소 화합물 (1) 의 순도가 지나치게 낮으면, 가스를 충전한 용기 내에 있어서, 가스 순도 (불소화 탄화수소 화합물 (1) 의 함유량) 의 치우침을 발생시키는 경우가 있다. 구체적으로는, 사용 초기 단계와 잔량이 적어진 단계에서의 가스 순도가 크게 상이한 경우가 있다.
이와 같은 경우, 드라이 에칭을 실시했을 때에, 사용 초기 단계와 잔량이 적어진 단계에서 각각의 가스를 사용했을 때의 성능에 큰 차이가 생겨, 공장의 생산 라인에 있어서는 수율의 저하를 초래할 우려가 있다.
순도를 향상시킴으로써 용기 내의 가스 순도의 치우침이 없어지기 때문에, 사용 초기 단계와 잔량이 적어진 단계에서, 가스를 사용했을 때의 성능에 차이가 없어져, 공장의 생산 라인에 있어서 수율이 향상되어, 가스를 낭비 없이 사용하는 것이 가능해진다.
불소화 탄화수소 화합물 (1) 은, 임의의 용기, 예를 들어 종래의 반도체용 가스와 마찬가지로 실린더 등의 용기에 충전되어, 후술하는 에칭에 사용된다.
본 발명에 사용하는 에칭 가스는, 상기 불소화 탄화수소 화합물 (1) 에 더하여, 산소 가스 및/또는 질소 가스를 함유하는 것이 바람직하고, 산소 가스를 함유하는 것이 보다 바람직하다.
산소 가스 및/또는 질소 가스를 병용함으로써, 홀 바닥면에 있어서의 반응물의 퇴적 등이 원인으로 생각되는 에칭의 정지 (에칭 스톱) 를 방지하면서, 마스크에 대한 높은 선택성을 확보할 수 있다.
여기서, 마스크에 대한 선택성이 높다란, 마스크 (에칭을 실시하고자 하지 않는 막) 와 다층 적층막 (에칭을 실시하고자 하는 막) 의 에칭 속도의 비, 즉, ((실리콘 산화막과 실리콘 질화막의 평균 에칭 속도)/마스크의 에칭 속도) 의 값 (선택비) 이 높은 것을 말한다.
실리콘 산화막과 실리콘 질화막의 평균 에칭 속도는 하기 식에 의해 구해진다.
[수학식 1]
(2 × (실리콘 산화막의 에칭 속도) × (실리콘 질화막의 에칭 속도))/((실리콘 산화막의 에칭 속도) + (실리콘 질화막의 에칭 속도))
산소 가스 및/또는 질소 가스의 사용 비율은, 불소화 탄화수소 화합물 (1) 에 대한 산소 가스 및/또는 질소 가스의 합계의 용량비 ((산소 가스 및/또는 질소 가스의 합계의 용량)/불소화 탄화수소 화합물 (1) 의 용량) 로, 0.1 ∼ 50 이 되는 것이 바람직하고, 0.5 ∼ 30 이 되는 것이 보다 바람직하다.
본 발명에 있어서는, 에칭 가스로서 추가로 헬륨, 아르곤, 네온, 크립톤 및 크세논으로 이루어지는 군에서 선택되는 적어도 1 종의 0 족 가스를 함유하는 것이 바람직하다. 이들 중에서도, 입수의 용이함으로부터 헬륨 또는 아르곤 가스를 함유하는 것이 바람직하다.
0 족 가스를 사용함으로써 플라즈마 밀도를 높여 에칭 속도를 상승시킬 수 있다.
0 족 가스의 사용 비율은, 불소화 탄화수소 화합물 (1) 에 대한 0 족 가스의 용량비 (0 족 가스의 용량/불소화 탄화수소 화합물 (1) 의 용량) 로, 0.1 ∼ 100 이 되는 것이 바람직하고, 0.5 ∼ 50 이 되는 것이 보다 바람직하다.
〈에칭 방법〉
본 발명의 에칭 방법에 있어서, 「에칭」이란, 반도체 제조 장치의 제조 공정 등에서 사용되는 피처리체에, 매우 고집적화된 미세 패턴을 식각하는 기술을 말한다. 또, 에칭의 일례로서 플라즈마 에칭이 있다. 여기서, 「플라즈마 에칭」이란, 에칭 가스 (반응성 플라즈마 가스) 에 고주파의 전기장을 인가하여 글로 방전을 일으켜, 기체 화합물을 화학적으로 활성인 이온, 라디칼로 분리시키고, 그 화학 반응을 이용하여 에칭을 실시하는 것을 말한다.
구체적으로는, 먼저 피처리체를 설치한 처리실 내에 에칭 가스를 도입하고, 이어서, 플라즈마 발생 장치에 의해 플라즈마를 발생시켜, 플라즈마 분위기하에서 에칭을 실시한다.
에칭 가스가 도입된 처리실 내의 압력은, 통상 0.0013 ∼ 1300 ㎩, 바람직하게는 0.13 ∼ 13 ㎩ 이다.
불소화 탄화수소 화합물 (1) 의 도입 속도는, 1 ∼ 50 sccm 이 바람직하고, 5 ∼ 20 sccm 이 보다 바람직하다. 산소 가스 및/또는 질소 가스를 사용하는 경우, 그 도입 속도는, 0 ∼ 200 sccm 이 바람직하고, 0 ∼ 80 sccm 이 보다 바람직하다. 0 족 가스를 사용하는 경우, 그 도입 속도는 0 ∼ 1000 sccm 이 바람직하고, 0 ∼ 400 sccm 이 보다 바람직하다.
플라즈마 발생 장치로는, 헬리콘파 방식, 고주파 유도 방식, 평행 평판 타입, 마그네트론 방식 및 마이크로파 방식 등의 장치를 들 수 있다.
플라즈마 발생 장치에 의하면, 처리실 내의 불소화 탄화수소 화합물 (1) 에 고주파의 전기장을 인가하여 글로 방전을 일으켜 플라즈마를 발생시킬 수 있다.
플라즈마 밀도는 특별히 한정되지 않는다. 본 발명의 효과를 보다 양호하게 발현시키는 관점에서, 플라즈마 밀도가 바람직하게는 1011-3 이상, 보다 바람직하게는 1012 ∼ 1013-3 의 고밀도 플라즈마 분위기하에서 에칭을 실시할 것이 요망된다.
에칭시에 있어서의 피처리 기판의 도달 온도는 특별히 한정되는 것은 아니지만, 바람직하게는 0 ∼ 300 ℃, 보다 바람직하게는 0 ∼ 100 ℃, 더욱 바람직하게는 0 ∼ 80 ℃ 의 범위이다. 기판의 온도는, 냉각 등에 의해 제어해도 되고, 제어하지 않아도 되다.
본 발명에 있어서, 통상적으로 다층 적층막은 그 상부에 패터닝된 마스크가 형성되어 에칭된다.
마스크로는, 통상적으로 유기막을 사용한다. 유기막으로는, 내에칭성이 높은 아모르퍼스 카본막을 사용하는 것이 바람직하다.
본 발명에 있어서는, 상기 불소화 탄화수소 화합물 (1) 이 마스크에 대한 높은 선택성을 갖기 때문에, 마스크를 파괴하지 않고, 실리콘 산화막층과 실리콘 질화막층이 교대로 4 층 이상 적층된 다층 적층막이라도, 홀을 퇴적막으로 폐색시키지 않고, 측벽 형상이 양호한 에칭을 실시할 수 있다.
실시예
이하에, 실시예를 들어 본 발명을 더욱 구체적으로 설명하는데, 본 발명은 이들의 실시예에 한정되는 것은 아니다.
(실시예 1)
(i) 선택비의 산출
평행 평판형 플라즈마 에칭 장치의 에칭 챔버 내에, 실리콘 기판 표면에 실리콘 산화막 (두께 2000 ㎚) 이 형성된 웨이퍼, 실리콘 기판 표면에 실리콘 질화막 (두께 1000 ㎚) 이 형성된 웨이퍼, 실리콘 기판 표면에 아모르퍼스 카본막 (두께 200 ㎚) 이 형성된 웨이퍼를 각각 세팅하였다.
계 내를 진공 (2 ㎩) 으로 한 후, 2-플루오로-n-부탄 (식;C4H9F, 하기 표 1에 있어서, 불소화 탄화수소 화합물 (1-1) 이라고 한다) 을 10 sccm 의 속도로, 산소를 30 sccm 의 속도로 및 아르곤을 200 sccm 의 속도로 에칭 챔버 내에 도입하고, 하기에 나타내는 에칭 조건하에서 각각의 웨이퍼에 대해 에칭을 실시하였다.
〈에칭 조건〉
상부 전극의 고주파 전원의 전력:300 W
하부 전극의 고주파 전원의 전력:600 W
전극 온도:0 ℃
실리콘 산화막이 형성된 웨이퍼의 에칭 속도 [㎚/min], 실리콘 질화막이 형성된 웨이퍼의 에칭 속도 [㎚/min] 를 구하고, 하기 식에 의해 실리콘 산화막과 실리콘 질화막의 평균 에칭 속도 [㎚/min] 를 산출하였다.
[수학식 2]
(2 × (실리콘 산화막의 에칭 속도) × (실리콘 질화막의 에칭 속도))/((실리콘 산화막의 에칭 속도) + (실리콘 질화막의 에칭 속도))
아모르퍼스 카본막 (마스크) 의 에칭 속도 [㎚/min] 를 구하여, 아모르퍼스 카본막의 에칭 속도에 대한 실리콘 산화막과 실리콘 질화막의 평균 에칭 속도의 비 (선택비) 를 구하였다. 결과를 하기 표 1 에 나타낸다.
[수학식 3]
(실리콘 산화막과 실리콘 질화막의 평균 에칭 속도/마스크의 에칭 속도)
(ii) 다층 적층막의 에칭
실리콘 기판 상에, 제 1 실리콘 질화막 (두께 100 ㎚), 제 1 실리콘 산화막 (두께 100 ㎚), 제 2 실리콘 질화막 (두께 100 ㎚), 제 2 실리콘 산화막 (두께 100 ㎚) 이 이 순서로 적층된 4 층 적층막 (다층 적층막) 상에, 소정의 홀 패턴이 패터닝된 아모르퍼스 카본막층이 형성된 웨이퍼를, 상기 (i) 와 동일한 방법에 의해 에칭하였다.
에칭 후, 마스크 (아모르퍼스 카본막) 의 소실의 유무를 관찰하고, 에칭에 의해 형성된 홀을 주사형 전자 현미경으로 관찰하고, 또한 홀의 폐색의 유무를 확인하고, 패턴 형상이 양호한지의 여부를 평가하였다. 그 결과를 하기 표 1 에 나타낸다.
(실시예 2 ∼ 4, 비교예 1 ∼ 5)
실시예 1 에 있어서, 2-플루오로-n-부탄 (식;C4H9F) 대신에 하기에 나타내는 불소화 탄화수소 화합물을 사용한 것 이외에는, 실시예 1 과 동일하게 하고, (i) 실리콘 기판 표면에 실리콘 산화막, 실리콘 질화막, 아모르퍼스 카본막이 형성된 웨이퍼를 각각 에칭하고, 아모르퍼스 카본막의 에칭 속도에 대한 실리콘 산화막과 실리콘 질화막의 평균 에칭 속도의 비 (선택비) 를 산출하였다. 또한, (ii) 4 층 적층막 웨이퍼를 에칭하고, 에칭 후의 마스크 (아모르퍼스 카본막) 의 소실의 유무, 홀 폐색의 유무 및 패턴 형상을 관찰하였다. 그 결과를 하기 표 1 에 나타낸다.
·불소화 탄화수소 화합물 (1-2):2,2-디플루오로-n-부탄 (식;C4H8F2)
·불소화 탄화수소 화합물 (1-3):1,1,1,3,3-펜타플루오로-n-부탄 (식;C4H5F5)
·불소화 탄화수소 화합물 (1-4):1,1,1,4,4,4-헥사플루오로-n-부탄 (식;C4H4F6)
·불소화 탄화수소 화합물 (2):디플루오로메탄 (식;CH2F2)
·불소화 탄화수소 화합물 (3):1,1,1,2,2,3,4,4,4-노나플루오로부탄 (식;C4HF9)
·불소화 탄화수소 화합물 (4):퍼플루오로시클로부탄 (식;C4F8)
·불소화 탄화수소 화합물 (5):헥사플루오로-1,3-부타디엔 (식;C4F6)
·불소화 탄화수소 화합물 (6):1,3,3,4,4,5,5-헵타플루오로시클로펜텐 (식;C5HF7)
Figure pct00001
표 1 로부터, 에칭 가스로서 불소화 탄화수소 화합물 (1) 을 사용한 실시예 1 ∼ 4 에서는, 마스크에 대한 선택성이 높고, 컨택트홀을 퇴적막으로 폐색시키지 않고, 패턴 형상이 양호한 에칭을 실시할 수 있는 것을 알 수 있다.
한편, 에칭 가스로서 불소화 탄화수소 화합물 (2) ∼ (4) 를 사용한 비교예 1 ∼ 3 에서는, 선택비가 낮기 때문에, 에칭 후, 아모르퍼스 카본막이 소실되어, 아모르퍼스 카본막에 의해 마스킹되어 있던 부분의 제 2 실리콘 산화막도 에칭되어 있었다. 비교예 2 에서는, 실리콘 산화막의 에칭 속도에 대하여, 실리콘 질화막의 에칭 속도가 높기 때문에, 실리콘 질화막이 수평 방향으로도 에칭되어 측벽 형상도 나빴다.
또, 불포화 결합을 갖는 불소화 탄화수소 화합물 (5), (6) 을 사용한 비교예 4, 5 에서는, 에칭 중에 홀이 퇴적막으로 폐색되어, 4 층 적층막 웨이퍼를 모두 에칭할 수 없었다.

Claims (5)

  1. 적어도 1 층의 실리콘 산화막층과 적어도 1 층의 실리콘 질화막층을 포함하는 다층 적층막을, 에칭 가스를 사용하여 양방 동시에 에칭하는 방법에 있어서,
    상기 에칭 가스로서, 식 (1):CxHyFz (식 중, x 는 4, y 는 4 이상의 정수, z 는 양의 정수, y + z 는 10 이다) 로 나타내는 사슬형 포화 불소화 탄화수소 화합물을 함유하는 것을 특징으로 하는 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스가 산소 가스를 추가로 함유하는 것을 특징으로 하는 에칭 방법.
  3. 제 2 항에 있어서,
    상기 에칭 가스가 헬륨, 아르곤, 네온, 크립톤 및 크세논으로 이루어지는 군에서 선택되는 0 족 가스를 1 종 이상 추가로 함유하는 것을 특징으로 하는 에칭 방법.
  4. 제 1 항에 있어서,
    상기 다층 적층막이 그 상부에 형성된 유기막을 마스크로 하여 에칭되는 것을 특징으로 하는 에칭 방법.
  5. 제 1 항에 있어서,
    상기 사슬형 포화 불소화 탄화수소 화합물이, 2-플루오로-n-부탄 (식;C4H9F), 2,2-디플루오로-n-부탄 (식;C4H8F2), 1,1,1,3,3-펜타플루오로-n-부탄 (식;C4H5F5) 및 1,1,1,4,4,4-헥사플루오로-n-부탄 (식;C4H4F6) 으로 이루어지는 군에서 선택되는 화합물인 것을 특징으로 하는 에칭 방법.
KR1020157013220A 2012-12-27 2013-12-27 드라이 에칭 방법 KR20150099515A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012284353 2012-12-27
JPJP-P-2012-284353 2012-12-27
PCT/JP2013/085091 WO2014104290A1 (ja) 2012-12-27 2013-12-27 ドライエッチング方法

Publications (1)

Publication Number Publication Date
KR20150099515A true KR20150099515A (ko) 2015-08-31

Family

ID=51021359

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157013220A KR20150099515A (ko) 2012-12-27 2013-12-27 드라이 에칭 방법

Country Status (5)

Country Link
US (1) US20150357200A1 (ko)
JP (1) JPWO2014104290A1 (ko)
KR (1) KR20150099515A (ko)
CN (1) CN104871298A (ko)
WO (1) WO2014104290A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190132625A (ko) * 2017-04-06 2019-11-28 칸토 덴카 코교 가부시키가이샤 드라이 에칭 가스 조성물 및 드라이 에칭 방법
KR20200044974A (ko) * 2017-11-02 2020-04-29 쇼와 덴코 가부시키가이샤 에칭 방법 및 반도체의 제조 방법
KR20210053905A (ko) * 2018-09-11 2021-05-12 키오시아 가부시키가이샤 반도체 장치의 제조 방법 및 에칭 가스

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984896B2 (en) 2013-10-30 2018-05-29 Zeon Corporation High-purity fluorinated hydrocarbon, use as a plasma etching gas, and plasma etching method
WO2016117563A1 (ja) * 2015-01-22 2016-07-28 日本ゼオン株式会社 プラズマエッチング方法
JP6636250B2 (ja) * 2015-02-12 2020-01-29 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
CN106298502B (zh) * 2015-05-18 2019-04-09 中微半导体设备(上海)股份有限公司 一种利用等离子体对多层材料刻蚀的方法
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10629449B2 (en) 2016-10-13 2020-04-21 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
GB2574879B (en) * 2018-06-22 2022-12-28 X Fab Semiconductor Foundries Gmbh Substrates for III-nitride epitaxy
US11258012B2 (en) * 2018-12-19 2022-02-22 Tokyo Electron Limited Oxygen-free plasma etching for contact etching of resistive random access memory
KR20220122260A (ko) 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US20110068086A1 (en) * 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
JP5701654B2 (ja) * 2011-03-23 2015-04-15 東京エレクトロン株式会社 基板処理方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190132625A (ko) * 2017-04-06 2019-11-28 칸토 덴카 코교 가부시키가이샤 드라이 에칭 가스 조성물 및 드라이 에칭 방법
US11437244B2 (en) 2017-04-06 2022-09-06 Kanto Denka Kogyo Co., Ltd. Dry etching gas composition and dry etching method
KR20200044974A (ko) * 2017-11-02 2020-04-29 쇼와 덴코 가부시키가이샤 에칭 방법 및 반도체의 제조 방법
KR20210053905A (ko) * 2018-09-11 2021-05-12 키오시아 가부시키가이샤 반도체 장치의 제조 방법 및 에칭 가스
KR20230097221A (ko) * 2018-09-11 2023-06-30 키오시아 가부시키가이샤 반도체 장치의 제조 방법 및 에칭 가스

Also Published As

Publication number Publication date
WO2014104290A1 (ja) 2014-07-03
JPWO2014104290A1 (ja) 2017-01-19
CN104871298A (zh) 2015-08-26
US20150357200A1 (en) 2015-12-10

Similar Documents

Publication Publication Date Title
KR20150099515A (ko) 드라이 에칭 방법
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
JP6327295B2 (ja) ドライエッチング方法
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
TW442849B (en) Process and apparatus for treating a substrate
TWI810396B (zh) 乾式蝕刻方法
TWI621179B (zh) Dry etching method
KR20090023363A (ko) 플라즈마 프로세싱 시스템에 대한 마스크 언더컷 및 노치를최소화시키는 방법
JP2013131587A (ja) プラズマ処理方法
JP2008177209A (ja) プラズマエッチング方法
KR102340870B1 (ko) 플라즈마 에칭 방법
KR20190042556A (ko) 플라즈마 에칭 방법
WO2019087850A1 (ja) エッチング方法及び半導体の製造方法
WO2020195559A1 (ja) ドライエッチング方法及び半導体デバイスの製造方法
US10090168B2 (en) Plasma etching method
CN110546742B (zh) 干式蚀刻气体组合物及干式蚀刻方法
Garay et al. Inductive couple plasma reactive ion etching characteristics of TiO2 thin films
JP6163820B2 (ja) エッチング方法
JP3363782B2 (ja) 集積回路構造の選択性の高い酸化物エッチングプロセス
TW201906007A (zh) 電漿處理裝置的陳化方法及電漿蝕刻方法
JP2004134520A (ja) レジストの除去方法
JP2015216287A (ja) プラズマ処理方法
JP2010021201A (ja) 腐刻方法、清掃処理方法
JP2014179393A (ja) プラズマエッチング方法
WO1998006128A1 (fr) Procede et dispositif d'attaque chimique a sec

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application