CN104217943A - 用于处理半导体工件的设备 - Google Patents

用于处理半导体工件的设备 Download PDF

Info

Publication number
CN104217943A
CN104217943A CN201410234927.1A CN201410234927A CN104217943A CN 104217943 A CN104217943 A CN 104217943A CN 201410234927 A CN201410234927 A CN 201410234927A CN 104217943 A CN104217943 A CN 104217943A
Authority
CN
China
Prior art keywords
room
plasma
gas supply
chamber
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410234927.1A
Other languages
English (en)
Other versions
CN104217943B (zh
Inventor
奥立佛·安塞尔
布莱恩·基尔南
托比·杰佛里
马克西姆·瓦瓦拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SPTS Technologies Ltd
Original Assignee
SPTS Technologies Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SPTS Technologies Ltd filed Critical SPTS Technologies Ltd
Publication of CN104217943A publication Critical patent/CN104217943A/zh
Application granted granted Critical
Publication of CN104217943B publication Critical patent/CN104217943B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

根据本发明,提供一种用于处理半导体工件的设备,包括:第一室,其具有第一等离子体产生源和用于将气体供应引入所述第一室的第一气体供应部;第二室,其具有第二等离子体产生源和用于将气体供应引入所述第二室的第二气体供应部,所述第二气体供应部与所述第一气体供应部能相互独立地控制;置于所述第二室中的工件支承件;以及多个气流通路限定元件,用于限定在所述工件放置在所述工件支承件上时邻近所述工件的气流通路,其中所述气流通路限定元件包括用于保护晶片边缘和/或在晶片边缘周围向外的区域的至少一个晶片边缘区域保护元件以及与所述晶片边缘区域保护元件间隔开以限定所述气流通路的至少一个辅助元件。

Description

用于处理半导体工件的设备
技术领域
本发明涉及用于处理半导体工件的设备。
背景技术
等离子体蚀刻被广泛地使用于半导体装置的制造中。这些装置的低成本制造需要等离子体蚀刻系统迅速地移除所需要的层,同时在被处理的晶片之间和内部保持由用户规定的均一性(例如蚀刻率和选择性)。频繁地,因为在许多情况中均一性在处理执行过程中随着蚀刻率上升而降低,因此在平均蚀刻率和均一性之间要达成一个妥协。
硅晶片中的高速率各向异性等离子体蚀刻的特征典型地通过专利号为[US5501893]的“博士处理(Bosch process)”或者专利号为[US 8133349]的循环沉积/蚀刻处理来获得。沉积和蚀刻步骤循环地在等离子体蚀刻工具中执行以实现未被掩模保护的硅的相对高去除速率。这种类型的处理具有广泛的商业应用并且被用于制造MEMS(微电子机械系统)、陀螺仪、加速计、传感器、硅通孔(TSV)甚至晶片划片或减薄。由于成本因素,在所有实例中,期望尽可能快地制造部件并且这最终导致大量努力在开发可以允许硅的高蚀刻率的硬件和处理上。
然而,应该理解的是,处理的均一性也是一个非常重要的考虑。在许多应用中,一些部件(晶圆)在晶片上形成图案并且从所有部分上移除的材料的量应该相似。理想地去除材料的量应相同,但是实际上达到相同的条件非常难以实现。如果将要维持最大的产量,应以同样的方式处理晶片的中心部分和边缘。还期望,蚀刻率在整个晶片上相似或者相同。如果情况不是这样,一些部分将会早于其它部分完成并且在一些示例中这可以证明这对经过过度蚀刻的部分有害。将使事情更加复杂化的是,等离子体均一性还可以影响蚀刻到被掩蔽的硅晶片中的特征的角度。通常,在晶片的边缘,一些离子以小于垂直入射角的方式碰击晶片表面,这导致在被蚀刻的各项异性特征中的微小“倾斜”。US 5683548描述了一种ICP反应器,其中气体和射频的独立控制射频可应用到一系列的同心通道。通过使用同心通道(通常在一个平面),一些程度上可以减小通道附近的径向等离子体非均一性。然而,接近晶片表面处的非均一性仍然存在。
发明内容
本发明,至少在一些实施例中,解决了以上提及的问题。
根据本发明的第一方面,提供一种用于处理半导体工件的设备,包括:
第一室,其具有第一等离子体产生源和用于将气体供应引入所述第一室的第一气体供应部;
第二室,其具有第二等离子体产生源和用于将气体供应引入所述第二室的第二气体供应部,所述第二气体供应部与所述第一气体供应部能相互独立地控制;
置于所述第二室中的工件支承件;以及
多个气流通路限定元件,用于限定在所述工件放置在所述工件支承件上时邻近所述工件的气流通路,其中所述气流通路限定元件包括用于保护晶片边缘和/或在晶片边缘周围向外的区域的至少一个晶片边缘区域保护元件以及与所述晶片边缘区域保护元件间隔开以限定所述气流通路的至少一个辅助元件。
晶片边缘区域保护装置元件可为环形晶片边缘保护装置。
辅助元件可包括一个或多个挡板。至少一个辅助元件可为环形挡板。
辅助元件可置于晶片边缘区域保护元件的内部部分的上方。
辅助元件可置于晶片边缘区域保护元件的外部部分的上方。
辅助元件可向第二室的壁径向向内延伸。
辅助元件可从第二室的壁向下延伸。
所述辅助元件与所述晶片边缘区域保护元件间隔开以限定在2mm至80mm之间,优选在5mm至50mm之间,最优选在15mm至25mm之间的间隙。
当所述工件被置于所述工件支承件上时,所述气流通路可从所述工件径向向外延伸。
所述工件在被置于所述工件支承件上时可由一载体支撑,所述晶片边缘区域保护元件保护所述载体。所述载体可为带和框架类型的,所述晶片边缘保护元件可保护所述带和/或所述框架。
所述第一等离子体产生源可包括用于将能量耦合到所述第一室中以维持在第一室中感应的等离子体的元件;所述第二等离子体产生源可包括用于将能量耦合到所述第二室中以维持在第二室中感应的等离子体的元件,其中第一等离子体产生源的所述元件与第二等离子体产生源的所述元件间隔开以便使在第二室中感应的等离子体与在第一室中感应的等离子体去耦。设备可被配置为使得没有或仅有少量的来自用于将能量耦合到第一室中的元件的能量被耦合到第二等离子体中。可选择地,或者额外地,设备可被配置为使得没有或仅有少量的来自用于将能量耦合到第二室中的元件的能量被耦合到第一等离子体中。这样,等离子可以解耦(decouple)。用于将能量耦合到第一室和第二室中的元件可为射频线圈。第一室可与所述第二室在具有相关联的水平面的界面处相接触,并且所述第一等离子体产生源的所述元件和所述第二等离子体产生源的所述元件中的至少一个可与所述水平面分隔开。
技术人员将会领会到辅助元件是除第二室的壁之外的元件,尽管它可从所述壁伸出。
根据本发明的第二方面,提供一种用于处理半导体工件的设备,包括:
第一室,所述第一室包括具有将能量耦合到第一室中以维持在第一室中感应的等离子体的元件的第一等离子体产生源,以及用于将气体供应引入所述第一室的第一气体供应部;
第二室,所述第二室包括具有将能量耦合到第一室中以维持在第二室中感应的等离子体的元件的第二等离子体产生源,以及将气体供应引入所述第二室的第二气体供应部,所述第二气体供应部与第一气体供应部能相互独立地控制;以及
置于第二室中的工件支承件;
其中第一等离子体产生源的所述元件与第二等离子体产生源的所述元件分隔开以便使在第一室中感应的等离子体与在第二室中感应的等离子体去耦。
根据本发明的第三方面,提供一种用于处理半导体工件的设备,包括:
具有壁的室;
置于室中的工件支承件;
至少一个等离子体产生源;以及
多个气流通路限定元件,用于限定在所述工件放置在所述工件支承件上时邻近所述工件的气流通路,其中所述气流通路限定元件包括用于保护晶片边缘和/或在晶片边缘周围向外的区域的至少一个晶片边缘区域保护元件以及与所述晶片边缘区域保护元件间隔开以限定所述气流通路的至少一个辅助元件。
根据本发明的第四方面,提供一种用于清洁设备的室的方法,包括以下步骤:
提供根据本发明的第一和第二方面的用于处理半导体工件的设备;
在第一和/或第二室中产生清洁等离子体;并且
使用等离子选择性地清洁设备的特定区域。
同时,上述描述的发明延伸到在上陈列的任何特征的发明组合,或者在后的描述,附图或者权利要求中。
附图说明
根据本发明的设备的具体实施例将会通过附图参考进行描述,其中:
图1示出了本发明设备的第一实施例;
图2示出了本发明设备的第二实施例;
图3示出了图1设备的(a)第二室和(b)第一室的等离子体清洁;
图4示出了正常化的蚀刻率与用于单射频射频源配置和双射频射频源配置的径向晶片位置的函数;
图5示出了正常化的蚀刻率与用于具有气体进口的单射频射频源配置和具有分离的气体进口的双射频射频源配置的径向晶片位置的函数;
图6示出了具有和不具有在200mm空白硅晶片的边缘处的气体电导限制通路的蚀刻均一性。
具体实施方式
感应耦合等离子体(ICP)等离子体蚀刻工具经常使用被置于陶瓷钟形罐周围的射频射频天线以产生稠密的等离子体。中央气体进口使得气体在钟形罐中被分解,并且等离子体的非均一性通过使用“扩散室”(一种置于在等离子体源与晶片滚筒组件之间的大直径室)而减小。扩散室允许等离子体膨胀至超出晶片的边缘。气筒从所述室泵出通过闸阀到达系统的底部。滚筒组件通常具有辅助晶片的排热的静电卡盘以及射频辅助蚀刻/沉积处理的射频偏压。尽管发明不仅仅局限于ICP等离子体蚀刻工具,但为了示例的目的,现描述本发明与这种蚀刻工具的关系。
在基于射频射频的文章中,在附图中描述的ICP等离子体蚀刻工具的发明特征为:a)两个同心射频射频源,主要源被置于钟形罐的上部并且所述钟形罐的直径小于主室的直径;b)两个气体进口,一个对应主要源,并且具有环形布置的另一个对应主室的上部;以及c)在晶片边缘处的电导限制通路,用于减少在晶片边缘处的气体流通。
通过一个或多个这些因素的正确的控制,可以提升蚀刻率同时均一性可保持在可接受的水平。图1中可以看到这些特征。
本发明的第二个优点是改进的等离子体清洁处理能力。等离子体清洁处理可以用于清除室壁上的沉积材料。这是一个非常重要的因素,这个因素必须要被控制以维持晶片-晶片随着时间的均一性。本发明的一个优势是:因为可以独立操作两个等离子体源,所以操作者可以针对室的特定区域实施清洁方案(感应耦合等离子体仅用于清洁主反应室/高密度等离子体仅用于清洁钟形罐)。本发明通过对等离子源的结合使用,使得自由移动等离子体成为了可能。更高效的清洁工作将带来生产效益。
图1示出了本发明设备的第一种配置,总体上示于10处。初级气体进口12进入初级室14(直径为7-12厘米的介质柱),初级室14具有相关联的初级电离源16。标称13.56MHz的射频天线作为感应耦合等离子体源。这可以在直流线圈20的辅助下,修正等离子体约束。在直流线圈20与初级室14的壁之间可以设置法拉第屏蔽21,以减少电容耦合现象的发生。来自初级源的等离子体进入主室22,在主室22中,晶片24被置于晶片支承件26上,该支承件26可为一静电卡盘。在标准的生产应用中,晶片的尺寸可达到300毫米,但本发明有能力处理尺寸更大的晶片。晶片24的边缘由晶片边缘保护(WEP)装置28保护着,以避免在抗性边缘珠粒已被移除的晶片边缘处硅的过分损耗。主(次级)室22具有次级电离源30,次级电离源30具有围绕着主室22放置的次级射频线圈32,以便提供靠近室壁22a的次级等离子体。射频线圈32还可以在频率为13.56MHz或更低的频率如1-2MHz下运转。在次级射频线圈32和主室22的壁之间设置法拉第屏蔽是可能的。该法拉第屏蔽可作为设置在初级室14附近的法拉第屏蔽21的附加。或者,邻近主室22设置的法拉第屏蔽可以被法拉第屏蔽21取代,或者可不出现法拉第屏蔽。环形气体分配系统34结合在主室22中,以便提供次级等离子体的独立气源。晶片边缘处引入了电导限制通路。气体以径向的形式流过WEP28的上方与环形隔板36的下方,通过闸阀进入泵38。该间隙的典型但非限制性高度为5-50毫米。电导限制通路可以延长活性气体种类在晶片边缘处的停留时间,并因此提高处理均一性。
把WEP保持在高温下,以减少由于连续积聚循环而积聚起来的物质,这种做法是可取的。优选地,通过在装载晶片前在室22中创造等离子体来加热整个内部来进行加热。在主要处理过程中,等离子体会确保保护系统的温度继续维持在85℃到150℃之间。该WEP系统的内部直径比晶片的直径大,以便确保整个晶片暴露在等离子中,但晶片直径外部的材料却得到保护。这种材料可能包括带和/或由带支撑的晶片框架,或可选择的载体。图2中展示了这么一种配置。图2示出了本发明设备的第二种配置,总体示于40处。图2中所示的众多元件与图1中所示的元件相同,使用相同的附图标记来表示这些共同的元件。
在图2中,晶片24承载在带42与框架44装置上。晶片边缘电导限制隔板46附接在晶片位置上方,其中内部直径与晶片24的内部直径相近。晶片24(或在晶片24附近的晶片支承件26上的部分)之间的间隙应该要足够小,使得蚀刻气体在被泵送在晶片支承件侧面周围前主要与晶片24相互作用。图2中,保护带42与框架44的WEP28与隔板46之间的间隙由箭头标识。必须在该混合与降低了的电导之间找到平衡,使得蚀刻产品能被泵离晶片。作为参考,最夹间隙尺寸通常在15到25毫米之间,但其他限制因素可使间隙尺寸在5-50毫米之间。隔板适用于众多蚀刻材料和处理气体,其中使用远程等离子体源,包括但不限于:硅、砷化镓、聚合材料、铝以及氟、氯和氧基化学制剂。
如果要随时保持处理重复性,则根据蚀刻周期和晶片数量清洁处理室是至关重要的。等离子清洁处理可用于清除积聚在室壁上的物质,并反过来延长对室进行通风以进行保养性清洁的时间间隔。本发明提供了具有两个能够独立操作的等离子体源的设备,使得能够实施针对室的特定区域的特定清洁方案(感应耦合等离子体仅用于清洁主室/高密度等离子体仅用于清洁钟形罐(初级室))。图3示出了利用本发明设备对a)初级室14进行等离子清洁和b)对初级室22进行等离子清洁。图3所展示的设备与图1所展示的设备10在基本上相同,并且使用相同的附图标记标示相同的元件。图3展示的设备进一步包含了次级法拉第屏蔽54,该屏蔽设置于次级射频线圈32与主室22的壁之间。在图3中,a)等离子体50产生在初级室14中,并且在图3中,b)等离子体52产生在主室22中。本发明通过对等离子源的结合使用,也使得自由移动等离子体成为了可能。更高效的清洁工作将带来生产效益。
通过利用两个独立源——一个初级源,位于其中将会进行活性气体的主要分解的小的陶瓷/绝缘容器内的室之上——以及,次级辅助源,位于初级源与晶片之间,更接近晶片,辅助源将补偿接近晶片边缘的径向非均一性。当使用单个源时,在处理室中心的等离子体密度将会比在室边缘的等离子体密度要高。当使用小直径管子作为第一室时这尤其明显。当施加在天线上的射频功率增加时,非均一性也会随之提高。在图4中,我们可以看到由于使用了次级射频源,不仅200毫米晶片的均一性得到改善,而且得到了更高的更正常化蚀刻率。在两组数据中,初级源的射频功率维持在3KW,而在次级源中则使用了1.5KW,以便测量混合源。气体仅供给到初级源。
图5展示了为次级射频源装配独立环形气体供给的优点。当初级源与次级源间的的气体供给比率为2:1时,气体仅仅流向初级源,可以看到300毫米晶片的正常蚀刻率。提高对主室的气体进给量改善了等离子的均一性,从而改善蚀刻均一性。
在图6中,我们可以看到在晶片边缘采用电导限制通路(隔板/WEP通道)的优点。通过减少在晶片周边的活性组分的消耗和减少离子的数目,均一性可以得到提高。这提高了晶片的均一性,因此通过调整其他可能降低均一性的处理参数来得到给定均一性的更高的蚀刻率。
本发明可以应用于半导体晶片,载体上的晶片或框架里的晶片。主要调整是对WEP和隔板进行定位来确保电导限制通路得到控制,从而提高边缘均一性。在应用框架中晶片的时候,WEP会覆盖框架和大部分暴露的带,但不会覆盖晶片边缘。
上述特定实施例的众多变量都在本发明的可接受范围内。例如,可以使用其他方式来减少散失的电耦合,例如分段线圈,而不是通过利用法拉第屏蔽。如US6495963所提到的一样,这种线圈可以装进室内。可以用磁等离子约束方法取代直流线圈。而磁等离子约束没有在其他实施例中被用到。射频源的频率不需要全部相同,任何适合的频率组合都可以使用。可以使用的频率范围在1-13.56MHz之间。

Claims (16)

1.一种用于处理半导体工件的设备,包括:
第一室,其具有第一等离子体产生源和用于将气体供应引入所述第一室的第一气体供应部;
第二室,其具有第二等离子体产生源和用于将气体供应引入所述第二室的第二气体供应部,所述第二气体供应部与所述第一气体供应部能相互独立地控制;
置于所述第二室中的工件支承件;以及
多个气流通路限定元件,用于限定在所述工件放置在所述工件支承件上时邻近所述工件的气流通路,其中所述气流通路限定元件包括用于保护晶片边缘和/或在晶片边缘周围向外的区域的至少一个晶片边缘区域保护元件以及与所述晶片边缘区域保护元件间隔开以限定所述气流通路的至少一个辅助元件。
2.根据权利要求1所述的设备,其中,所述晶片区域边缘区域保护装置元件为环形晶片边缘保护装置。
3.根据权利要求1或2所述的设备,其中,所述至少一个辅助元件包括一个或多个挡板。
4.根据权利要求3所述的设备,其中,所述至少一个辅助元件为环形挡板。
5.根据前述权利要求中任一项所述的设备,其中所述辅助元件置于所述晶片边缘区域保护元件的内部部分的上方。
6.根据权利要求1-4中任一项所述的设备,其中所述辅助元件置于所述晶片边缘区域保护元件的外部部分的上方。
7.根据前述权利要求中任一项所述的设备,其中,所述辅助元件向所述第二室的壁径向向内延伸。
8.根据权利要求1-6中任一项所述的设备,其中所述辅助元件从所述第二室的壁向下延伸。
9.根据前述权利要求中任一项所述的设备,其中,所述辅助元件与所述晶片边缘区域保护元件间隔开以限定在2mm至80mm之间,优选在5mm至50mm之间,最优选在15mm至25mm之间的间隙。
10.根据前述权利要求中任一项所述的设备,其中,当所述工件被置于所述工件支承件上时,所述气流通路从所述工件径向向外延伸。
11.根据前述权利要求中任一项所述的设备,其中,所述工件在被置于所述工件支承件上时由一载体支撑,所述晶片边缘区域保护元件保护所述载体。
12.根据权利要求11所述的设备,其中,所述载体为带和框架类型的,所述晶片边缘保护元件保护所述带和/或所述框架。
13.根据前述权利要求中任一项所述的设备,其中,所述第一等离子体产生源包括用于将能量耦合到所述第一室中以维持在第一室中感应的等离子体的元件;所述第二等离子体产生源包括用于将能量耦合到所述第二室中以维持在第二室中感应的等离子体的元件,其中第一等离子体产生源的所述元件与第二等离子体产生源的所述元件间隔开以便使在第二室中感应的等离子体与在第一室中感应的等离子体去耦。
14.根据权利要求13所述的设备,其中,所述第一室与所述第二室在具有相关联的水平面的界面处相接触,并且所述第一等离子体产生源的所述元件和所述第二等离子体产生源的所述元件中的至少一个与所述水平面分隔开。
15.一种用于处理半导体工件的设备,包括:
第一室,所述第一室包括具有将能量耦合到第一室中以维持在第一室中感应的等离子体的元件的第一等离子体产生源,以及用于将气体供应引入所述第一室的第一气体供应部;
第二室,所述第二室包括具有将能量耦合到第一室中以维持在第二室中感应的等离子体的元件的第二等离子体产生源,以及将气体供应引入所述第二室的第二气体供应部,所述第二气体供应部与第一气体供应部能相互独立地控制;以及
置于第二室中的工件支承件;
其中第一等离子体产生源的所述元件与第二等离子体产生源的所述元件分隔开以便使在第一室中感应的等离子体与在第二室中感应的等离子体去耦。
16.一种用于处理半导体工件的设备,包括:
具有壁的室;
置于室中的工件支承件;
至少一个等离子体产生源;以及
多个气流通路限定元件,用于限定在所述工件放置在所述工件支承件上时邻近所述工件的气流通路,其中所述气流通路限定元件包括用于保护晶片边缘和/或在晶片边缘周围向外的区域的至少一个晶片边缘区域保护元件以及与所述晶片边缘区域保护元件间隔开以限定所述气流通路的至少一个辅助元件。
CN201410234927.1A 2013-05-29 2014-05-29 用于处理半导体工件的设备 Active CN104217943B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB1309583.1 2013-05-29
GBGB1309583.1A GB201309583D0 (en) 2013-05-29 2013-05-29 Apparatus for processing a semiconductor workpiece

Publications (2)

Publication Number Publication Date
CN104217943A true CN104217943A (zh) 2014-12-17
CN104217943B CN104217943B (zh) 2020-03-06

Family

ID=48784848

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410234927.1A Active CN104217943B (zh) 2013-05-29 2014-05-29 用于处理半导体工件的设备

Country Status (7)

Country Link
US (1) US20140352889A1 (zh)
EP (1) EP2808886B1 (zh)
JP (2) JP6559932B2 (zh)
KR (1) KR102244575B1 (zh)
CN (1) CN104217943B (zh)
GB (1) GB201309583D0 (zh)
TW (1) TWI654645B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108155080A (zh) * 2016-12-02 2018-06-12 北京北方华创微电子装备有限公司 等离子体产生装置及包括该装置的半导体设备
CN108155093A (zh) * 2016-12-02 2018-06-12 北京北方华创微电子装备有限公司 等离子体产生装置及包含该装置的半导体设备
CN111508802A (zh) * 2020-04-22 2020-08-07 北京北方华创微电子装备有限公司 反应腔室及其刻蚀方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5938716B2 (ja) * 2013-11-01 2016-06-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
DE102014216195A1 (de) * 2014-08-14 2016-02-18 Robert Bosch Gmbh Vorrichtung zum anisotropen Ätzen eines Substrats und Verfahren zum Betreiben einer Vorrichtung zum anisotropen Ätzen eines Substrats
JP6296297B2 (ja) * 2014-08-27 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
KR101680850B1 (ko) * 2016-06-28 2016-11-29 주식회사 기가레인 배기유로의 크기가 조절되는 플라즈마 처리 장치
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284291A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd プラズマ処理装置及び処理方法
CN1790614A (zh) * 2004-11-10 2006-06-21 东京毅力科创株式会社 基板处理装置的恢复方法、恢复程序、及基板处理装置
US20090142929A1 (en) * 2007-11-29 2009-06-04 Tokyo Electron Limited Method for plasma processing over wide pressure range
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US20120285622A1 (en) * 2011-05-09 2012-11-15 Lim Ji Man Plasma device
CN102804933A (zh) * 2010-01-15 2012-11-28 东京毅力科创株式会社 可切换中性束源

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
JPS5972718A (ja) * 1982-10-20 1984-04-24 Toshiba Corp 縦型気相成長装置
US5173336A (en) * 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
JPH0689880A (ja) * 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3585606B2 (ja) * 1995-09-19 2004-11-04 アネルバ株式会社 Cvd装置の電極装置
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH10172954A (ja) * 1996-12-06 1998-06-26 Hitachi Ltd プラズマ処理装置
US6149730A (en) * 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
GB2387023B (en) 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
JP2001308077A (ja) * 2000-04-27 2001-11-02 Toshiba Corp 半導体製造装置
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
WO2008007944A1 (en) * 2006-07-12 2008-01-17 Technische Universiteit Eindhoven Method and device for treating a substrate by means of a plasma
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
JP5256866B2 (ja) * 2008-02-05 2013-08-07 東京エレクトロン株式会社 処理装置
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR100999588B1 (ko) * 2008-03-25 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
JP4855506B2 (ja) * 2009-09-15 2012-01-18 住友精密工業株式会社 プラズマエッチング装置
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
WO2011114940A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜装置
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5886821B2 (ja) * 2013-01-04 2016-03-16 ピーエスケー インコーポレイテッド 基板処理装置及び方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284291A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd プラズマ処理装置及び処理方法
CN1790614A (zh) * 2004-11-10 2006-06-21 东京毅力科创株式会社 基板处理装置的恢复方法、恢复程序、及基板处理装置
US20090142929A1 (en) * 2007-11-29 2009-06-04 Tokyo Electron Limited Method for plasma processing over wide pressure range
CN102804933A (zh) * 2010-01-15 2012-11-28 东京毅力科创株式会社 可切换中性束源
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US20120285622A1 (en) * 2011-05-09 2012-11-15 Lim Ji Man Plasma device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108155080A (zh) * 2016-12-02 2018-06-12 北京北方华创微电子装备有限公司 等离子体产生装置及包括该装置的半导体设备
CN108155093A (zh) * 2016-12-02 2018-06-12 北京北方华创微电子装备有限公司 等离子体产生装置及包含该装置的半导体设备
CN111508802A (zh) * 2020-04-22 2020-08-07 北京北方华创微电子装备有限公司 反应腔室及其刻蚀方法
CN111508802B (zh) * 2020-04-22 2023-10-13 北京北方华创微电子装备有限公司 反应腔室及其刻蚀方法

Also Published As

Publication number Publication date
GB201309583D0 (en) 2013-07-10
TW201511069A (zh) 2015-03-16
CN104217943B (zh) 2020-03-06
KR20140140514A (ko) 2014-12-09
EP2808886A1 (en) 2014-12-03
TWI654645B (zh) 2019-03-21
JP2019110346A (ja) 2019-07-04
EP2808886B1 (en) 2020-10-21
JP2014232877A (ja) 2014-12-11
US20140352889A1 (en) 2014-12-04
JP6559932B2 (ja) 2019-08-14
KR102244575B1 (ko) 2021-04-23

Similar Documents

Publication Publication Date Title
CN104217943A (zh) 用于处理半导体工件的设备
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
CN107564793B (zh) 电感耦合式等离子体(icp)反应器中的功率沉积控制
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
TWI641291B (zh) 用以產生電漿之系統、方法及設備
US9997381B2 (en) Hybrid edge ring for plasma wafer processing
TWI416623B (zh) 具有單一平面天線之電感耦合雙區域處理腔室
CN101199036B (zh) 具有可调电极面积比的受约束等离子体
US9095038B2 (en) ICP source design for plasma uniformity and efficiency enhancement
US20090314433A1 (en) Cathode with inner and outer electrodes at different heights
CN101426949A (zh) 用于等离子蚀刻室的集成的电容性和电感性电源
CN103069560A (zh) 用于控制工艺腔室中的气体流动的设备
CN110730999A (zh) 具有多气体注入的等离子体剥离工具
TWI555442B (zh) 分散式多區域電漿源系統、方法及設備
CN110546733B (zh) 在处理腔室中防止工件上的材料沉积
CN112534543A (zh) 用于等离子体室的l形等离子体约束环
TWI550708B (zh) 分離的電漿源控制用之系統、方法與設備
KR101562192B1 (ko) 플라즈마 반응기
US10600622B2 (en) Focus ring with uneven pattern and plasma-processing apparatus including the same
TW201309104A (zh) 感應耦合電漿處理裝置
TWI591684B (zh) 使用分布型非同心狀多區域電漿源的處理腔室、電漿產生方法及電漿處理系統
KR101098793B1 (ko) 대구경 웨이퍼 처리를 위한 적응형 플라즈마 소스 및 플라즈마 챔버
KR101981549B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant